With Treating Means (e.g., Jarring) Patents (Class 118/722)
  • Patent number: 11699605
    Abstract: An apparatus for treating a substrate includes a chamber having a treating space formed therein, a substrate support unit that supports the substrate in the treating space, a plate that is located to face the substrate support unit in the treating space and that has a plurality of holes formed therein, a gas supply unit that supplies gas into the treating space through the holes, and a gas exhaust unit that exhausts the gas in the treating space through the holes.
    Type: Grant
    Filed: May 11, 2020
    Date of Patent: July 11, 2023
    Assignee: SEMES CO., LTD.
    Inventors: Kyungsik Shin, Jung-Hyun Lee, Jinki Shin, Seo Jung Park
  • Patent number: 11538696
    Abstract: A chamber apparatus comprises a lower and an upper chamber body, and a gasket member. The lower chamber body defines a receiving region and a first receiving groove. The upper chamber body disposed above the lower chamber body and defines a second receiving groove projectively align to the first receiving groove. The second receiving groove is configured to establish sealing coupling with the lower chamber body so as to form a chamber enclosure region. The gasket member includes a conductive member and an elastomeric member. The conductive member configured to laterally surround the receiving region and respectively fit into the lower chamber body and the upper chamber body. The elastomeric member is protruded from the conductive member and extended toward the receiving region, configured to be compressed by the upper and the lower chamber body so as to seal the chamber enclosure region.
    Type: Grant
    Filed: October 25, 2019
    Date of Patent: December 27, 2022
    Assignee: XIA TAI XIN SEMICONDUCTOR (QING DAO) LTD.
    Inventors: Deog-Ja Koo, Dea-Jin Kim
  • Patent number: 11520233
    Abstract: A substrate processing apparatus includes a holder configured to hold, within a processing container, a substrate having a pattern formed of a resist material for EUV lithography on a surface thereof, a rotation driving part configured to rotate the holder, and a light source part including a plurality of light sources configured to emit light to the surface of the substrate held by the holder rotated by the rotation driving part such that a number of rotations of the substrate is 0.5 rpm to 3 rpm.
    Type: Grant
    Filed: September 1, 2020
    Date of Patent: December 6, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Keiichi Tanaka
  • Patent number: 11515131
    Abstract: A thin-film system comprising a microplasma region where sputtered particles are formed, a power supply that supplies power to the microplasma region, gas flow hardware to regulate flow of gas to the microplasma region, a deposition nozzle that forms a thin film on a substrate and a supply line for supplying sputtered particles to the deposition nozzle, wherein the microplasma region is decoupled from the deposition nozzle.
    Type: Grant
    Filed: December 6, 2019
    Date of Patent: November 29, 2022
    Assignee: The Charles Stark Draper Laboratory Inc.
    Inventor: Isaac Ehrenberg
  • Patent number: 11478292
    Abstract: The invention relates to a device for producing a cold atmospheric pressure plasma for the treatment of human and/or animal surfaces, comprising a flexible, planar multilayer system with a side facing the surface to be treated and a side facing away from the surface to be treated, wherein the multilayer system comprises the following layers, namely a first electrode layer on the facing away side of the multilayer system, second electrode layer on the facing side of the multilayer system, wherein the electrode layer has a plurality of recesses or is formed in a grid-like or meander-shaped fashion, a dielectric layer arranged between the first electrode layer and the second electrode layer, and a spacer layer arranged adjacent the second electrode layer on the facing side of the multilayer system. In addition, the invention relates to a cable, a generator unit for providing a high voltage, and a system.
    Type: Grant
    Filed: October 9, 2015
    Date of Patent: October 25, 2022
    Assignee: LEIBNIZ-INSTITUT FÜR PLASMAFORSCHUNG UND TECHNOLOGIE E.V.
    Inventors: Carsten Mahrenholz, Tobias Gura, Rene Bussiahn, Stephan Krafczyk, Manfred Stieber, Stefan Horn, Ronny Brandenburg, Klaus-Dieter Weltmann, Thomas Von Woedtke
  • Patent number: 11315770
    Abstract: An exhaust device including an exhaust mechanism and an exhaust unit is provided. The exhaust mechanism includes a first blade unit and a second blade unit provided in an exhaust space of a processing vessel including a processing space of a vacuum atmosphere for applying a process to a workpiece. The first blade unit and the second blade unit are arranged coaxially with a periphery of the workpiece, and at least one of the first blade unit and the second blade unit is rotatable. The exhaust unit is provided at a downstream side of the exhaust mechanism and communicates with the exhaust space. The exhaust unit is configured to exhaust gas in the processing vessel.
    Type: Grant
    Filed: November 30, 2018
    Date of Patent: April 26, 2022
    Assignee: Tokyo Electron Limited
    Inventors: Kazuya Nagaseki, Kazuki Moyama, Toshiya Matsuda, Naokazu Furuya, Tatsuro Ohshita
  • Patent number: 11315765
    Abstract: Disclosed is a plasma processing apparatus including a processing chamber configured to perform a processing on a wafer by plasma, a VF power supply configured to change a frequency of a high frequency power to be supplied into the chamber, a susceptor configured to mount the wafer thereon, and a focus ring disposed to surround the wafer. A first route, which passes through the plasma starting from the VF power supply, passes through the susceptor, the wafer and the plasma, and a second route, which passes through the plasma starting from the VF power supply, passes through the susceptor, the focus ring and the plasma. The reflection minimum frequency of the first route is different from the reflection minimum frequency of the second route, and the frequency range changeable by the VF power supply includes the reflection minimum frequencies of the first and second routes.
    Type: Grant
    Filed: March 28, 2019
    Date of Patent: April 26, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Jun Yamawaku, Chishio Koshimizu, Tatsuo Matsudo
  • Patent number: 11031252
    Abstract: A chamber door, such as an etch chamber door may be heated during etch processing to, e.g., prevent etching by-products from adhering to the etch chamber door. Such heating of the etch chamber door, however, can impact the processing parameters and result in non-uniform processing, such as non-uniform etching characteristics across a semiconductor wafer, for instance. An insulator, such as an insulating film covering surfaces of the heated door, can reduce or eliminate transmission of heat from the door to a work piece such as a semiconductor wafer and this reduce or eliminate the non-uniformity of the process results.
    Type: Grant
    Filed: January 13, 2017
    Date of Patent: June 8, 2021
    Assignee: Taiwan Semiconductor Manufacturing Compant, Ltd.
    Inventors: Meng-Je Chuang, Wan-Chun Kuan, Yi-Wei Chiu, Tzu-Chan Weng
  • Patent number: 11024527
    Abstract: The present disclosure provides various apparatus and methods for novel cleanspace fabricator designs. In some examples, a cleanspace fabricator may be comprised of vertically stacked tools wherein the product and the processing tools are conveyed through the cleanspace. The fabricator may comprise a processor performing cognitive computing algorithms. In some examples, the processor may be located at a remote location and communication with the cleanspace fabricator. Product may be comprised of or processed upon substrates in some examples. In other examples product may be comprised of materials contained within vessels. In some examples the product within vessels may be in liquid or powder form.
    Type: Grant
    Filed: December 7, 2018
    Date of Patent: June 1, 2021
    Inventor: Frederick A. Flitsch
  • Patent number: 10898972
    Abstract: A laser crystallizing apparatus includes a stage configured to receive a substrate, the stage including a plurality of groove lines each having a predetermined depth and width, and a plurality of control holes, each arranged in a respective groove line. Each control hole is provided with a negative pressure to hold the substrate to a surface of the stage or a positive pressure to release the substrate from the surface of the stage.
    Type: Grant
    Filed: May 31, 2016
    Date of Patent: January 26, 2021
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventors: Chung Hwan Lee, Hong Ro Lee, Rae Chul Park
  • Patent number: 10683586
    Abstract: A method of making graphene includes providing a seed gas in the presence of a metallic substrate, providing a pulsed, ultraviolet laser beam, and moving the substrate or the laser beam relative to the other, thereby advancing a graphene crystallization front and forming an ordered graphene structure. In some instances, the substrate can have a surface with two-fold atomic symmetry. A method of recrystallizing graphene includes providing a pulsed, ultraviolet laser beam to a polycrystalline graphene sheet.
    Type: Grant
    Filed: February 4, 2015
    Date of Patent: June 16, 2020
    Assignee: National University of Singapore
    Inventors: Barbaros Ozyilmaz, Andreas Volker Stier, Chee Tat Toh, Antonio Helio Castro Neto
  • Patent number: 10633739
    Abstract: A substrate processing apparatus includes: a process chamber in which a substrate is processed; a substrate support configured to support the substrate in the process chamber; a plurality of reaction gas supply holes formed in a wall of the process chamber opposite to a substrate mounting surface of the substrate support; a reaction gas supply pipe that is fixed to the process chamber and communicates to each of the reaction gas supply holes; a plurality of reaction gas suppliers, each including a plasma generator installed on an upstream side of the reaction gas supply pipe; a plasma controller that is connected to the plasma generator and is configured to individually control a plurality of plasma generators; and a controller configured to control the substrate support, the plurality of reaction gas suppliers, and the plasma controller.
    Type: Grant
    Filed: January 30, 2019
    Date of Patent: April 28, 2020
    Assignee: Kokusai Electric Corporation
    Inventors: Yukitomo Hirochi, Takashi Yahata
  • Patent number: 10535586
    Abstract: Methods and apparatus entailing an interconnect structure comprising interconnect features disposed in dielectric material over a substrate. Each interconnect feature comprises an interconnect member and a via extending between the interconnect member and a conductive member formed within the dielectric material. A through-silicon-via (TSV) structure is formed laterally offset from the interconnect structure by forming a first portion of the TSV structure with a first conductive material and forming a second portion of the TSV structure with a second conductive material. Forming the second portion of the TSV structure occurs substantially simultaneously with forming one of the interconnect features.
    Type: Grant
    Filed: May 3, 2019
    Date of Patent: January 14, 2020
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yung-Chi Lin, Tsang-Jiuh Wu, Wen-Chih Chiou
  • Patent number: 10522371
    Abstract: Semiconductor systems and methods may include a semiconductor processing chamber having a gas box defining an access to the semiconductor processing chamber. The chamber may include a spacer characterized by a first surface with which the gas box is coupled, and the spacer may define a recessed ledge on an interior portion of the first surface. The chamber may include a support bracket seated on the recessed ledge that extends along a second surface of the spacer. The chamber may also include a gas distribution plate seated on the support bracket.
    Type: Grant
    Filed: May 19, 2016
    Date of Patent: December 31, 2019
    Assignee: Applied Materials, Inc.
    Inventors: Tien Fak Tan, Lok Kee Loh, Dmitry Lubomirsky, Soonwook Jung, Martin Yue Choy, Soonam Park
  • Patent number: 10481490
    Abstract: An imprint apparatus performs pressing of an imprint material on a substrate with a mold to form a pattern on the substrate. The imprint apparatus includes a supply device configured to supply a condensable gas to a space between the imprint material and the mold. The condensable gas is liquefied by the pressing. The apparatus further includes a pipe for transferring the condensable gas in a gas state to the supply device and a storage connected to the pipe. The storage stores the condensable gas in a liquid state. The supply device is configured to supply the condensable gas vaporized in the storage and transferred through the pipe from the storage.
    Type: Grant
    Filed: November 7, 2016
    Date of Patent: November 19, 2019
    Assignee: Canon Kabushiki Kaisha
    Inventor: Keiji Yamashita
  • Patent number: 10431481
    Abstract: A load lock apparatus having a load lock chamber, which is connected to a vacuum transfer chamber configured to transfer a substrate under a vacuum pressure state via a communication hole which is opened and closed by a gate valve, and configured to be capable of switching an inner pressure into an atmospheric pressure state and the vacuum pressure state, is provided. The load lock apparatus includes a load lock chamber main body in which a substrate container having an attachable/detachable cover is carried, wherein the communication hole is formed in a side surface of the load lock chamber; and a cover attaching/detaching mechanism installed at a height position vertically arranged with the communication hole in the load lock chamber; and an elevating mechanism including a mounting table on which the substrate container is loaded and configured to lift and lower the mounting table.
    Type: Grant
    Filed: July 21, 2016
    Date of Patent: October 1, 2019
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Shinji Wakabayashi
  • Patent number: 10423064
    Abstract: The present invention provides an imprint apparatus that performs imprint processing of forming a pattern of an imprint material on a substrate using a mold, the apparatus including a chuck configured to hold the mold, a head configured to support the chuck to be able to drive the chuck, the head being fixed to a base, a plate member configured to be arranged between the base and the substrate so as to surround the chuck, and a first supply unit configured to supply a first gas to a first space so as to form an air flow from the first space between the plate member and the substrate toward a second space outside the first space.
    Type: Grant
    Filed: November 30, 2015
    Date of Patent: September 24, 2019
    Assignee: CANON KABUSHIKI KAISHA
    Inventor: Shintaro Narioka
  • Patent number: 10396014
    Abstract: Methods and apparatus entailing an interconnect structure comprising interconnect features disposed in dielectric material over a substrate. Each interconnect feature comprises an interconnect member and a via extending between the interconnect member and a conductive member formed within the dielectric material. A through-silicon-via (TSV) structure is formed laterally offset from the interconnect structure by forming a first portion of the TSV structure with a first conductive material and forming a second portion of the TSV structure with a second conductive material. Forming the second portion of the TSV structure occurs substantially simultaneously with forming one of the interconnect features.
    Type: Grant
    Filed: January 2, 2018
    Date of Patent: August 27, 2019
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Yung-Chi Lin, Tsang-Jiuh Wu, Wen-Chih Chiou
  • Patent number: 10357580
    Abstract: An electrode arrangement for forming a dielectric barrier plasma discharge between a flat surface (4) of the electrode arrangement and a surface to be treated which functions as a counter surface and on which a fluid can collect, comprising a flat electrode (14) which can be connected to a high-voltage source by means of a connector and which is completely embedded in a flat dielectric (2), except for the connector for the high-voltage source, wherein the dielectric (2) forms an upper surface (1) and a lower surface (4) which appears as a flat surface to the surface to be treated, enables the drainage or supply of a fluid by means of a simple design.
    Type: Grant
    Filed: August 25, 2015
    Date of Patent: July 23, 2019
    Assignee: CINOGY GmbH
    Inventors: Leonhard Trutwig, Mirko Hahnl, Karl-Otto Storck, Matthias Kopp, Annika Schaefer, Dirk Wandke
  • Patent number: 10312078
    Abstract: There is provided a nitride film forming method which includes: performing a pretreatment in which a chlorine-containing gas is supplied while heating a substrate to be processed having a first base film and a second base film formed on the substrate to a predetermined temperature, and is adsorbed onto a surface of the first base film and a surface of the second base film; and forming a nitride film on the first base film and the second base film subjected to the pretreatment, by an ALD method or a CVD method, using a raw material gas and a nitriding gas, while heating the substrate to be processed to a predetermined temperature.
    Type: Grant
    Filed: March 22, 2017
    Date of Patent: June 4, 2019
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Hiroki Murakami, Daisuke Suzuki, Takahiro Miyahara
  • Patent number: 10290532
    Abstract: Integrated digital isolators comprise a first transformer coil or capacitor plate mounted on an integrated circuit substrate, and separated from a second transformer coil or capacitor plate via an electrically insulating isolation layer. The electrical isolation that is achieved is dependent upon the material and thickness of the isolation layer. In order to reduce the amount of time required for fabrication while still allowing thick isolation layers to be deployed, in examples of the disclosure pre-formed sheets or tapes of dielectric material are applied to the substrate over the first transformer coil or capacitive plate, for example by being rolled onto the substrate using a heated roller. Such a technique results in a thick isolation layer that is formed using a simple process and much more quickly and reliably than conventional spin-coating or deposition techniques.
    Type: Grant
    Filed: May 19, 2017
    Date of Patent: May 14, 2019
    Assignee: Analog Devices Global
    Inventors: Alan John Blennerhassett, Bernard Patrick Stenson
  • Patent number: 10286640
    Abstract: An object of the present invention is to provide a process for laminating works together that are capable of giving laminates a high bonding strength ensured therein.
    Type: Grant
    Filed: February 25, 2016
    Date of Patent: May 14, 2019
    Assignee: Ushio Denki Kabushiki Kaisha
    Inventors: Makoto Wasamoto, Fumitoshi Takemoto, Shinji Suzuki
  • Patent number: 10121680
    Abstract: In a substrate processing apparatus, a mounting table and a gas supply part are provided in a processing container to face each other. The processing gas introduced from introduction ports formed in the gas supply part on the opposite side of the gas supply part from the mounting table is supplied to the substrate from gas supply holes formed in an end portion of the gas supply part on the side of the mounting table. The gas supply part includes a central region and one or more outer peripheral regions surrounding the central region. The gas supply holes and the introduction ports are provided for each of the central region and the outer peripheral regions. The processing gas whose gas supply conditions are adjusted for each of the regions is continuously and outwardly supplied in a circumferential direction around the center axis from the introduction ports.
    Type: Grant
    Filed: December 5, 2017
    Date of Patent: November 6, 2018
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Munehito Kagaya, Ayuta Suzuki, Kosuke Yamamoto, Tsuyoshi Moriya, Kazuyoshi Matsuzaki
  • Patent number: 10047438
    Abstract: A substrate processing system comprises an upper electrode and a lower electrode arranged in a processing chamber. A gas delivery system selectively delivers at least one of precursor, one or more deposition carrier gases and a post deposition purge gas. An RF generating system deposits film on the substrate by generating RF plasma in the processing chamber between the upper electrode and the lower electrode by supplying an RF voltage to one of the upper electrode and the lower electrode while the precursor and the one or more deposition carrier gases are delivered by the gas delivery system. A bias generating circuit selectively supplies a DC bias voltage to one of the upper electrode and the lower electrode while the post deposition purge gas is delivered by the gas delivery system. The post deposition purge gas that is delivered by the gas delivery system includes a molecular reactant gas.
    Type: Grant
    Filed: October 21, 2014
    Date of Patent: August 14, 2018
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Arul Dhas, Kareem Boumatar, Christopher James Ramsayer
  • Patent number: 10010849
    Abstract: Provided is an apparatus for generating 1-methylcyclopropene. The apparatus of the present invention may enable the immediate and convenient generation of 1-methylcyclopropene in a desired location using stable 1-methylcyclopropene precursors.
    Type: Grant
    Filed: March 20, 2012
    Date of Patent: July 3, 2018
    Assignee: ERUM BIOTECHNOLOGIES, INC.
    Inventors: Sang Ku Yoo, Jin Wook Chung
  • Patent number: 9694535
    Abstract: An imprint apparatus of the present invention molds an uncured resin on a substrate using a mold and cures the resin to thereby form a pattern of the cured resin on the substrate. The imprint apparatus includes a gas supply mechanism configured to supply gas from the mold side toward the substrate and to recover the supplied gas at the mold side, when the mold is pressed against the uncured resin; a substrate holding unit configured to be movable while holding the substrate and have a flat plate portion that has a surface height which is adjusted to the level of the surface of the held substrate and is disposed on the outside of the substrate so as to surround the substrate; and a gas recovery mechanism configured to recover the gas entrapped in a gap region which is present between the outer circumferential side of the substrate held by the substrate holding unit and the inner circumferential side of the flat plate portion toward the substrate.
    Type: Grant
    Filed: September 18, 2012
    Date of Patent: July 4, 2017
    Assignee: CANON KABUSHIKI KAISHA
    Inventor: Sunao Mori
  • Patent number: 9613828
    Abstract: Laser annealing of a semiconductor wafers using a forming gas for localized control of ambient oxygen gas to reduce the amount of oxidization during laser annealing is disclosed. The forming gas includes hydrogen gas and an inert buffer gas such as nitrogen gas. The localized heating of the oxygen gas and the forming gas in the vicinity of the annealing location on the surface of the semiconductor wafer creates a localized region within which combustion of oxygen gas and hydrogen gas occurs to generate water vapor. This combustion reaction reduces the oxygen gas concentration within the localized region, thereby locally reducing the amount of ambient oxygen gas, which in turn reduces oxidation rate at the surface of the semiconductor wafer during the annealing process.
    Type: Grant
    Filed: May 18, 2015
    Date of Patent: April 4, 2017
    Assignee: Ultratech, Inc.
    Inventors: James McWhirter, Arthur W. Zafiropoulo
  • Patent number: 9536709
    Abstract: A large-sized plasma generator is suited to various surface shapes and has a longer service life and improved energy conservation. An example of the plasma generator (1-1) has a dielectric layer (3), first and second electrodes (4, 5) that are formed within the dielectric layer, an alternating-current power supply (6), and a first metal layer (7). The dielectric layer (3) is composed of polymer resin layers (31, 32) that are formed of a polyimide resin. The electrodes are arranged side by side within the dielectric layer. The first metal layer is formed of a metal having a sterilization effect, and has a plurality of pores (71) in the surface. The first metal layer spans between supporting parts (33, 34) of the polymer resin layer (32), and faces the whole of the electrodes. A gap (S) is formed between the first metal layer and the polymer resin layer.
    Type: Grant
    Filed: January 9, 2014
    Date of Patent: January 3, 2017
    Assignee: CREATIVE TECHNOLOGY CORPORATION
    Inventors: Satomi Koyama, Li Luo, Yoshiaki Tatsumi
  • Patent number: 9530618
    Abstract: A chuck, a system including a chuck and a method for making a semiconductor device are disclosed. In one embodiment the chuck includes a first conductive region configured to be capacitively coupled to a first RF power generator, a second conductive region configured to be capacitively coupled to a second RF power generator and an insulation region that electrically insulates the first conductive region from the second conductive region.
    Type: Grant
    Filed: July 6, 2012
    Date of Patent: December 27, 2016
    Assignee: Infineon Technologies AG
    Inventor: Manfred Engelhardt
  • Patent number: 9502219
    Abstract: The present disclosure provides a method of performing a plasma processing on a substrate by using a plasma processing apparatus including a processing container; an outer upper electrode provided to face a lower electrode; an inner upper electrode disposed inside the outer upper electrode; a first high-frequency power supply; a first power feeding unit; a second power feeding unit; and a variable condenser. The first and second power feeding units, a fixed condenser formed between the outer upper electrode and the inner upper electrode, and a closed circuit including the variable condenser become a resonance state when the variable condenser has a capacitance value in a predetermined resonance region. The method includes selectively using a capacitance value in a first region lower than the resonance region of the variable condenser and a capacitance value in a second region higher than the resonance region to perform the plasma processing.
    Type: Grant
    Filed: October 30, 2013
    Date of Patent: November 22, 2016
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yoshihide Kihara, Masaya Kawamata, Toshio Haga
  • Patent number: 9452236
    Abstract: Disclosed herein is a plasma generating apparatus capable of sufficiently performing a deodorization function and a sterilization function by increasing a generation amount of ions or radicals while suppressing generation of ozone. The plasma generating apparatus has a pair of electrodes (21 and 22) provided with dielectric films (21a and 22a) and serves to apply a predetermined voltage between the electrodes (21 and 22) to discharge plasma, fluid circulation holes (21b and 22b) are respectively provided at corresponding positions of the respective electrodes (21 and 22) and pass through the electrodes, and plasma is generated only in opening end portions (21x and 22x) forming the fluid circulation holes (21b and 22b) between the pair of electrodes (21 and 22).
    Type: Grant
    Filed: December 7, 2012
    Date of Patent: September 27, 2016
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Makoto Miyamoto, Kazutoshi Takenoshita, Yukika Yamada, Yoshitaka Terao, Nobutake Hirai
  • Patent number: 9443700
    Abstract: A plasma reactor that generates plasma in a workpiece processing chamber by an electron beam, has an electron beam source and segmented suppression electrode with individually biased segments to control electron beam density distribution.
    Type: Grant
    Filed: February 10, 2014
    Date of Patent: September 13, 2016
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Leonid Dorf, Shahid Rauf, Kenneth S. Collins, Nipun Misra, Kartik Ramaswamy, James D. Carducci, Steven Lane
  • Patent number: 9433973
    Abstract: A UV curing apparatus includes a processing chamber, a UV light source disposed above the processing chamber, a window disposed between the processing chamber and the UV light source for allowing a UV light from the UV light source passing through and entering the processing chamber, a sealing ring disposed between the processing chamber and the window for sealing the processing chamber, and a light shading kit disposed between the UV light source and the sealing ring for preventing the sealing ring from being exposed of the UV light. Therefore the sealing ring is not exposed of UV light directly, and the bonding of the rubber sealing ring would not be destroyed.
    Type: Grant
    Filed: June 15, 2015
    Date of Patent: September 6, 2016
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Hsueh-Kuang Ni, Chi-Jen Chen, Peng-Cheng Hong
  • Patent number: 9382619
    Abstract: Disclosed herein is an apparatus for cleaning an inner surface of a film growth reaction chamber, including a supporting unit, a cleaning unit, an electric motor and a power supply apparatus. The cleaning unit includes a surface facing the inner surface of the reaction chamber, and the surface is provided with a plurality of scraping structures. The electric motor is provided on the supporting unit and includes a driving shaft. One end of the driving shaft is connected to the cleaning unit so as to drive the cleaning unit to move. The power supply apparatus is connected to the electric motor. The cleaning apparatus of the present application provides a method for cleaning the inner surface of the reaction chamber, which is highly automatic, effective and timesaving, and may ensure the quality and consistency of cleaning process.
    Type: Grant
    Filed: April 5, 2012
    Date of Patent: July 5, 2016
    Assignee: Advanced Micro-Fabrication Equipment, Inc.
    Inventors: Yinxin Jiang, Yijun Sun, Zhiyou Du
  • Patent number: 9337363
    Abstract: The instant disclosure relates to contact grids for use in photovoltaic cells, wherein a cross-section of the contact grid fingers is shaped as a trapezoid, as well as a method of making photovoltaic cells comprising these contact grids. The contact grids of the instant disclosure are cost effective and, due to their thick metal grids, exhibit minimum resistance. Despite having thick metal grids, the unique shape of the contact grid fingers of the instant disclosure allow the photovoltaic cells in which they are employed to retain more solar energy than traditional solar cells by reflecting incoming solar energy back onto the surface of the solar cell instead of reflecting this energy away from the cell.
    Type: Grant
    Filed: May 11, 2011
    Date of Patent: May 10, 2016
    Assignee: International Business Machines Corporation
    Inventors: Ronald Goldblatt, Harold J. Hovel, Xiaoyan Shao, Steven E. Steen
  • Patent number: 9206508
    Abstract: This application describes a bulk and thin film chemical vapor deposition (CVD) process using lasers to heat a silicon substrate to the required deposition temperature. It is primarily applicable to production of polysilicon by the decomposition of halosilanes in a chemical reactor. It is also suitable for other materials that use a CVD process to deposit material on a heated silicon substrate.
    Type: Grant
    Filed: October 17, 2011
    Date of Patent: December 8, 2015
    Inventors: Alleppey V. Hariharan, Jagannathan Ravi
  • Publication number: 20150140232
    Abstract: A system and method A method of growing an elongate nanoelement from a growth surface includes: (a) cleaning a growth surface on a base element; (b) providing an ultrahigh vacuum reaction environment over the cleaned growth surface; (c) generating a reactive gas of an atomic material to be used in forming the nanoelement; (d) projecting a stream of the reactive gas at the growth surface within the reactive environment while maintaining a vacuum of at most 1×10?4 Pascal; (e) growing the elongate nanoelement from the growth surface within the environment while maintaining the pressure of step c); (f) after a desired length of nanoelement is attained within the environment, stopping direction of reactive gas into the environment; and (g) returning the environment to an ultrahigh vacuum condition.
    Type: Application
    Filed: January 26, 2015
    Publication date: May 21, 2015
    Inventors: Biswajit Das, Myung B. Lee
  • Publication number: 20150140213
    Abstract: Method and apparatus for producing glancing angle deposited thin films. There is a source of collimated vapour flux, the source of collimated vapour flux having a deposition field; and a travelling substrate disposed within the deposition field of the source of collimated vapour flux, the collimated vapor flux being collimated at a defined non-zero angle to a normal to the travelling substrate.
    Type: Application
    Filed: September 24, 2014
    Publication date: May 21, 2015
    Inventors: Michael Thomas Taschuk, Michael Julian Brett, Andy Christopher van Popta, Peter Charles Philip Hrudey
  • Publication number: 20150125622
    Abstract: Systems and methods for high and ultra-high vacuum physical vapor deposition with in-situ magnetic field are disclosed herein. An exemplary method for depositing a film in an evacuated vacuum chamber can include introducing a sample into the vacuum chamber. The sample can be rotated. A magnetic field can be applied that rotates synchronously with the rotating sample. Atoms can be deposited onto the sample while the sample is rotating with the magnetic field to deposit a film while the magnetic field induces magnetic anisotropy in the film.
    Type: Application
    Filed: October 1, 2014
    Publication date: May 7, 2015
    Applicant: The Trustees of Columbia University in HIe City of
    Inventors: KENNETH L. SHEPARD, William E. Bailey, Noah Andrew Sturcken, Cheng Cheng, Sioan Zohar
  • Publication number: 20150114291
    Abstract: The present invention is to provide a technology for forming an organic compound film having a uniform thickness on a film at a high film formation speed while transporting the film in a vacuum chamber. In a vacuum chamber, a film reeled out from a mother roll is transported in contact with a center roller and an organic compound film is formed on the film. A vapor emission device disposed in a film deposition chamber provided in the vacuum chamber and having a vapor emission unit which emits and blows a vapor of an organic compound monomer to a film on the center roller, and an energy ray-emitting device for irradiating an organic compound monomer layer formed on the center roller with an energy ray so as to cure the organic compound layer are provided.
    Type: Application
    Filed: December 29, 2014
    Publication date: April 30, 2015
    Applicant: ULVAC, Inc.
    Inventors: Kazuhiko SAITOU, Masayuki IIJIMA, Takayoshi HIRONO, Kenji NAKAMORI
  • Publication number: 20150101537
    Abstract: An apparatus for coating glass articles with a chemical compound includes a coating hood section defining an interior chamber having an inlet and an outlet, the outlet being positioned adjacent the glass articles. A blower is positioned in the interior chamber to carry air from the inlet towards the outlet. An injector is configured to deliver the chemical compound into the interior chamber, the injector being positioned in the interior chamber at a location that is downstream of the blower. The injector extends into the interior chamber by a pre-determined distance that is selected to prevent premature vaporization of the chemical compound.
    Type: Application
    Filed: April 22, 2013
    Publication date: April 16, 2015
    Inventor: Kaitlyn Fahey
  • Patent number: 8986452
    Abstract: A coating installation containing at least one recipient which can be evacuated and which is adapted to accommodate a substrate, at least one gas supply device which is used to introduce at least one gaseous precursor into the recipient and at least one heatable activation element which has a definable longitudinal extension and which is fastened by means of at least one associated mechanical fastening device to be virtually immobile relative to the recipient. In a corresponding method, an electric current can be supplied to the activation element via at least two contact elements and at least one of the contact elements is designed to contact the activation element in alternating contact points.
    Type: Grant
    Filed: May 13, 2010
    Date of Patent: March 24, 2015
    Assignee: Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V.
    Inventors: Tino Harig, Markus Höfer, Artur Laukart, Lothar Schäfer, Markus Armgardt
  • Publication number: 20150079310
    Abstract: Disclosed is a nanoparticle generating unit, a nanoparticle coating unit, and a core-shell nanoparticle collecting unit are connected to link and continuously process generation of nanoparticles and a coating and collecting process. The nanoparticle coating unit is formed of a porous material or in a grid structure and a moving speed of the nanoparticles can be decreased using a speed adjustment member installed at a process passage of a coating chamber.
    Type: Application
    Filed: September 16, 2014
    Publication date: March 19, 2015
    Inventors: Sungho Park, Won-Seok Chae, Man So Han
  • Publication number: 20150075426
    Abstract: The present invention relates to a pulsed laser deposition system, and particularly relates to a pulsed laser deposition system capable of using several different targets. In the pulsed laser deposition system, a beam-splitting device is provided to split a UV laser beam into several UV laser beams and to introduce these UV laser beams to different targets simultaneously. Therefore, the pulsed laser deposition system can use several different targets and can be used to form doped epitaxial layer (III-V semiconductor film) and ternary or quaternary epitaxial layer (III-V semiconductor film).
    Type: Application
    Filed: January 17, 2014
    Publication date: March 19, 2015
    Applicant: National Taiwan University
    Inventors: CHING-FUH LIN, Yu-Wen CHENG, Hao-Yu WU
  • Patent number: 8980001
    Abstract: A susceptor having a recessed portion and a ring-like step portion is arranged in a reaction chamber, and a plurality of through bores are formed in a bottom wall in the recessed portion excluding the step portion. A lift pin inserted in each of the through bores temporarily holds a wafer, then a lower surface of an outer peripheral portion of the wafer is mounted on the step portion to accommodate the wafer in the recessed portion, and a raw material gas is circulated in the reaction chamber to form an epitaxial layer on a wafer surface in the recessed portion. When forming the epitaxial layer on the wafer surface, the lift pin protrudes upwards from an upper surface of the bottom wall, and a height h of a top portion of the lift pin based on the upper surface of the bottom wall as a reference is set to the range from a position where the height h exceeds 0 mm to a position immediately before the lift pin comes into contact with the wafer.
    Type: Grant
    Filed: July 24, 2009
    Date of Patent: March 17, 2015
    Assignee: Sumco Corporation
    Inventors: Masaya Sakurai, Masayuki Ishibashi
  • Publication number: 20150059648
    Abstract: A throttle valve includes a throttle body including a housing having an inner surface. The throttle body includes first and second stop surfaces arranged on the inner surface. A throttle plate is rotatable inside the housing of the throttle body about a shaft between closed and open positions. A first projection is located on a first surface of the throttle plate adjacent to a radially outer end of the throttle plate. A second projection is located on a second surface of the throttle plate adjacent to a radially outer end of the throttle plate. The second surface is opposite the first surface. The first and second projections extend outwardly from the throttle plate in opposite directions and in corresponding directions of rotational movement of the throttle plate during closing to bias against the second stop surface when the throttle valve is closed.
    Type: Application
    Filed: September 3, 2013
    Publication date: March 5, 2015
    Applicant: Lam Research Corporation
    Inventors: Dirk Rudolph, Antonio Xavier
  • Publication number: 20150064340
    Abstract: A system and method for depositing a coating may comprise a coating chemical reactor, surface activation component, and a deposition component. A target surface may be prepared for deposition with the surface activation component. The coating chemical reactor may comprise a coating chemical dispenser and a coating chemical verifier that prepares the coating chemical for deposition. The coating chemical verifier may utilize an optical excitation source and at least one optical detector, wherein chemical substances are identified by unique signatures composed of binary code. The coating chemical may be received by the deposition component to depositing the coating chemical on the target surface.
    Type: Application
    Filed: June 11, 2014
    Publication date: March 5, 2015
    Applicant: University of Houston
    Inventors: Seamus Curran, Nigel Alley, Kang-Shyang Liao, Amrita Haldar
  • Publication number: 20150064364
    Abstract: A method of forming a metalloid-containing material comprises the step of preparing a hydrometalloid compound in a low volume on-demand reactor. The method further comprises the step of feeding the hydrometalloid compound prepared in the microreactor to a deposition apparatus. Additionally, the method comprises the step of forming the metalloid-containing material from the hydrometalloid compound via the deposition apparatus. A deposition system for forming the metalloid-containing material comprises at least one low volume on-demand reactor coupled to and in fluid communication with a deposition apparatus.
    Type: Application
    Filed: February 14, 2013
    Publication date: March 5, 2015
    Inventors: Binh Nguyen, Michael Telgenhoff
  • Patent number: 8945307
    Abstract: Embodiments of the invention involve a technique and process for coating fine diameter, single strand wire of long continuous lengths with Parylene. The special fixture design and process allows for ultra thin (as thin as 0.2 micron), pore free, coatings. The advantages of this technology allow for wire products that offer minimal intrusion, superior routing and winding characteristics, and high heat and chemical resistance. The coating process can also be used for other types of material.
    Type: Grant
    Filed: December 23, 2010
    Date of Patent: February 3, 2015
    Assignee: AeroQuest Research Group LLC
    Inventors: Thomas Lawrence, Nick Stahl, Jay Ahling
  • Publication number: 20150027374
    Abstract: A vapor deposition apparatus includes a first injection unit through which a first raw gas is injected in a first direction, and a first filter unit which is mounted in the first injection unit and includes a plurality of plates separated from one another in the first direction and disposed in parallel to one another, where holes are defined in each of the plurality of plates which is detachably coupled in the first filter unit.
    Type: Application
    Filed: June 12, 2014
    Publication date: January 29, 2015
    Inventors: Jin-Kwang Kim, Seung-Yong Song, Myung-Soo Huh, Suk-Won Jung, Choel-Min Jang, Jae-Hyun Kim, Sung-Chul Kim