With Workpiece Support Patents (Class 156/345.51)
  • Patent number: 11859279
    Abstract: The invention relates to a microwave plasma-assisted deposition modular reactor for manufacturing synthetic diamond.
    Type: Grant
    Filed: December 8, 2017
    Date of Patent: January 2, 2024
    Assignee: DIAM CONCEPT
    Inventors: Alix Gicquel, François Des Portes
  • Patent number: 11862440
    Abstract: Semiconductor processing equipment and an electrostatic chuck include a semiconductor having: an upper electrode; a gas supplier connected to the upper electrode; and a substrate supporting structure spaced apart from the upper electrode to define a processing volume. The substrate supporting structure supports a substrate and includes: a lower electrode having a side area disposed outside a step formed at an outer perimeter portion of the lower electrode and a processing area disposed inside the step; a first plate disposed on the lower electrode; an attraction electrode disposed on the first plate; and a second plate disposed on the attraction plate. The second plate supports the substrate in a state in which the substrate is laid on an upper surface of the second plate. Each of the first plate and the second plate includes ceramic. The lower electrode has a maximum height at a central portion of the processing area.
    Type: Grant
    Filed: July 12, 2021
    Date of Patent: January 2, 2024
    Inventors: Jeongil Mun, Jinyoung Park, Jongwoo Sun, Hyungjoo Lee
  • Patent number: 11817291
    Abstract: The inventive concept relates to an apparatus for processing a substrate. In an embodiment, the apparatus for processing the substrate includes a plasma chamber, a coil electrode installed around the plasma chamber, and a Faraday shield provided between the coil electrode and the plasma chamber. The Faraday shield includes a cutout having a plurality of slots formed in a vertical direction along a periphery of the plasma chamber, an upper rim provided at the top of the cutout, and a lower rim provided at the bottom of the cutout. The upper rim and the lower rim have a thermal expansion reduction means configured to reduce a difference in thermal deformation between the upper and the lower rim and the cutout.
    Type: Grant
    Filed: May 14, 2021
    Date of Patent: November 14, 2023
    Assignee: PSK INC.
    Inventor: Mu-Kyeom Mun
  • Patent number: 11795544
    Abstract: A chamber for processing deposition on a wafer includes a wafer holder having a central surface region for placing a wafer and a carrier ring support surface encircling the central surface region; and a carrier ring disposed on the carrier ring support surface. The carrier ring comprises an annular disk body comprising an annular wafer support region, an annular peripheral region, and an annular transition region between the annular wafer support region and the annular peripheral region. The annular peripheral region comprises a top carrier ring surface. The annular wafer support region has a lower carrier ring surface that is in physical contact with a wafer during processing. The annular transition region comprises a curved slope between the top carrier ring surface and the lower carrier ring surface.
    Type: Grant
    Filed: November 2, 2021
    Date of Patent: October 24, 2023
    Assignee: United Semiconductor (Xiamen) Co., Ltd.
    Inventor: Min-Fu Lee
  • Patent number: 11773489
    Abstract: The present disclosure relates to a gas confiner assembly designed to reduce the non-uniform deposition rates by confining the gas flow and changing the local gas flow distribution near the edge regions of the substrate. The material, size, shape and other features of the gas confiner assembly can be varied based on the processing requirements and associated deposition rates. In one embodiment, a gas confiner assembly for a processing chamber comprises a gas confiner configured to decrease gas flow and compensate for high deposition rates on edge regions of substrates. The gas confiner assembly also comprises a cover disposed below the gas confiner. The cover is configured to prevent a substrate support from being exposed to plasma.
    Type: Grant
    Filed: January 30, 2015
    Date of Patent: October 3, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Lai Zhao, Qunhua Wang, Robin L. Tiner, Soo Young Choi, Beom Soo Park
  • Patent number: 11682574
    Abstract: Aspects of the present disclosure relate to one or more implementations of a substrate support for a processing chamber. In one implementation, a substrate support includes a body having a center, and a support surface on the body configured to at least partially support a substrate. The substrate support includes a first angled wall that extends upward and radially outward from the support surface, and a first upper surface disposed above the support surface. The substrate support also includes a second angled wall that extends upward and radially outward from the first upper surface, the first upper surface extending between the first angled wall and the second angled wall. The substrate support also includes a second upper surface extending from the second angled wall. The second upper surface is disposed above the first upper surface.
    Type: Grant
    Filed: November 7, 2019
    Date of Patent: June 20, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Abdul Aziz Khaja, Venkata Sharat Chandra Parimi, Sarah Michelle Bobek, Prashant Kumar Kulshreshtha, Vinay K. Prabhakar
  • Patent number: 11600471
    Abstract: A substrate support for a plasma processing apparatus includes a first support area configured to support a substrate placed thereon; a second support area configured to support a focus ring placed thereon, and extending in a circumferential direction outward in a radial direction with respect to the first support area; a conductive structure configured to be connected to the focus ring; and a holder configured to hold the connection member to press the connection member downward, and also to cause the connection member to press the surface of the focus ring. The conductive structure includes a first conductive path which provides a terminal area outward in the radial direction with respect to the second support area, and a connection member configured to electrically connect the focus ring and the terminal area, and disposed on the terminal area to face a surface of the focus ring.
    Type: Grant
    Filed: November 8, 2019
    Date of Patent: March 7, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yasuharu Sasaki, Yohei Uchida
  • Patent number: 11393710
    Abstract: Apparatuses including a height-adjustable edge ring, and methods for use thereof are described herein. In one example, a substrate support assembly includes a height-adjustable edge ring, and the substrate support assembly is located within a process chamber. The substrate support assembly includes an electrostatic chuck, an edge ring positioned on a portion of the electrostatic chuck, and one or more actuators to adjust the height of the edge ring via one or more push pins. The height-adjustable edge ring can be used to compensate for erosion of the edge ring over time. In addition, the height-adjustable edge ring can be removed from the process chamber via a slit valve opening without venting and opening the process chamber. The height-adjustable edge ring can be tilted by the one or more actuators in order to improve azimuthal uniformity at the edge of the substrate.
    Type: Grant
    Filed: January 6, 2017
    Date of Patent: July 19, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Michael R. Rice, Yogananda Sarode Vishwanath, Sunil Srinivasan, Rajinder Dhindsa, Steven E. Babayan, Olivier Luere, Denis M. Koosau, Imad Yousif
  • Patent number: 11387081
    Abstract: According to various embodiments, a wafer chuck may include at least one support region configured to support a wafer in a receiving area; a central cavity surrounded by the at least one support region configured to support the wafer only along an outer perimeter; and a boundary structure surrounding the receiving area configured to retain the wafer in the receiving area.
    Type: Grant
    Filed: February 24, 2020
    Date of Patent: July 12, 2022
    Assignee: INFINEON TECHNOLOGIES AG
    Inventors: Rudolf Kogler, Juergen Steinbrenner, Wolfgang Dastel, Harald Huetter, Markus Kahn
  • Patent number: 11332826
    Abstract: An atomic layer deposition equipment and an atomic layer deposition process method are disclosed. The atomic layer deposition equipment includes a chamber, a heater, a support unit, a hollow component, a bottom pumping port, and a shower head component, wherein the support unit is disposed on the top surface of the heater for supporting a substrate. There is an upper exhaust path formed between the hollow component and the support unit for exhausting process fluid such as precursors, so that the flow field of the process fluid in the atomic layer deposition process can be adjusted stably to make a uniform deposition on the substrate.
    Type: Grant
    Filed: December 3, 2020
    Date of Patent: May 17, 2022
    Assignee: SKY TECH INC.
    Inventors: Jing-Cheng Lin, Ta-Hao Kuo
  • Patent number: 11315766
    Abstract: In a plasma processing apparatus, a mounting table have a first mounting surface on which a target object or a jig is mounted and a second mounting surface on which a ring member is mounted. The jig is used for measuring a thickness of the ring member disposed around the target object and having a facing portion facing an upper surface of the ring member. Elevating mechanisms lift or lower the ring member with respect to the second mounting surface. An acquisition unit acquires gap information indicating a gap dimension between the second mounting surface and the facing portion of the jig. A measurement unit measures a lifted distance of the ring member from the second mounting surface. A thickness calculation unit calculates the thickness of the ring member based on the gap dimension and the measured lifted distance of the ring member.
    Type: Grant
    Filed: October 2, 2019
    Date of Patent: April 26, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Atsushi Ogata
  • Patent number: 11302563
    Abstract: A carrier assembly is configured to support a wafer, including during back end of line (BEOL) processing. The carrier assembly includes dual carriers. A first carrier includes a stepped structure so as to situate the wafer. A side of the wafer is bonded to the first carrier without adhesive. The first carrier is positioned atop the second carrier, so as to be mechanically supported by the second carrier. Each carrier is made by wet etching of laminated glass, without mechanical polishing.
    Type: Grant
    Filed: June 18, 2020
    Date of Patent: April 12, 2022
    Assignee: CORNING INCORPORATED
    Inventors: Hoon Kim, Jin Su Kim, Varun Singh
  • Patent number: 11264208
    Abstract: A plasma processing apparatus includes a chamber, a substrate support, a radio-frequency power supply, and a controller. The substrate support includes a lower electrode and is disposed in the chamber to mount a focus ring to surround a disposed substrate on the substrate support. The radio-frequency power supply supplies a bias radio-frequency power to the lower electrode. The controller causes specifying a power level of the bias radio-frequency power corresponding to a specified value of the DC potential of the focus ring by using a table or a function that defines a relationship between the power level of the bias radio-frequency power and the DC potential of the focus ring generated by supplying the bias radio-frequency power to the lower electrode, and controlling the radio-frequency power supply to supply the bias radio-frequency power having the specified power level to the lower electrode during a plasma generation in the chamber.
    Type: Grant
    Filed: June 3, 2019
    Date of Patent: March 1, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Toshifumi Nagaiwa
  • Patent number: 11251026
    Abstract: Focus ring assemblies for plasma processing apparatus are provided. In one example implementation, an apparatus includes a plasma source configured to generate a plasma. The apparatus includes a chamber configured to receive a workpiece. The apparatus includes a workpiece support contained in the chamber and configured to support the workpiece. The apparatus includes a focus ring assembly. The focus ring assembly includes a focus ring having an upper tier and a lower tier. An inner edge of the upper tier can be separated a lateral distance of at least about 3 mm from an outer edge of the workpiece located on the workpiece support.
    Type: Grant
    Filed: March 27, 2018
    Date of Patent: February 15, 2022
    Assignees: Mattson Technology, Inc., Beijing E-Town Semiconductor Technology Co., Ltd.
    Inventors: Jeffrey Cue, Martin L. Zucker
  • Patent number: 11211282
    Abstract: Embodiments of process kit components for use in a substrate support, and substrate supports incorporating same, are provided herein. In some embodiments, the substrate support may include a body, a grounding shell formed of an electrically conductive material disposed about the body, a liner formed of an electrically conductive material disposed about the grounding shell, where the liner includes an upper lip that extends inwardly towards the body, a metal fastener disposed through the upper lip to couple the liner to the grounding shell, and a first insulator ring disposed atop the upper lip of the liner and covering the metal fastener.
    Type: Grant
    Filed: June 15, 2018
    Date of Patent: December 28, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Xue Chang, Andrew Nguyen
  • Patent number: 11177136
    Abstract: Embodiments of the present invention provide a dual load lock chamber capable of processing a substrate. In one embodiment, the dual load lock chamber includes a chamber body defining a first chamber volume and a second chamber volume isolated from one another. Each of the lower and second chamber volumes is selectively connectable to two processing environments through two openings configured for substrate transferring. The dual load lock chamber also includes a heated substrate support assembly disposed in the second chamber volume. The heated substrate support assembly is configured to support and heat a substrate thereon. The dual load lock chamber also includes a remote plasma source connected to the second chamber volume for supplying a plasma to the second chamber volume.
    Type: Grant
    Filed: September 26, 2019
    Date of Patent: November 16, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Jared Ahmad Lee, Martin Jeffrey Salinas, Paul B. Reuter, Imad Yousif, Aniruddha Pal
  • Patent number: 11164729
    Abstract: A measuring device and method, provided in a region surrounded by a focus ring and configured to measure an amount of consumption of the focus ring, includes a disc-shaped base substrate, sensor electrodes provided on the base substrate, a high frequency oscillator configured to apply a high frequency signal to the sensor electrodes, and an operation unit configured to calculate measurement values indicating electrostatic capacitances of the sensor electrodes from detection values corresponding to potentials of the sensor electrodes. The operation unit calculates a representative value (for example an average value) of the measurement values corresponding to the amount of consumption of the focus ring and derives the amount of consumption of the focus ring with reference to a table in which the amount of consumption of the focus ring is associated with the representative value of the measurement values.
    Type: Grant
    Filed: March 8, 2019
    Date of Patent: November 2, 2021
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Kippei Sugita, Daisuke Kawano
  • Patent number: 11152192
    Abstract: To improve processing uniformity by improving a working characteristic in an edge exclusion region. Provided is a plasma processing apparatus for processing a sample by generating plasma in a vacuum vessel to which a processing gas is supplied and that is exhausted to a predetermined pressure and by applying a radio frequency bias to a sample placed in the vacuum vessel, wherein a conductive radio frequency ring to which a radio frequency bias power is applied is arranged in a stepped part formed outside a convex part of the sample stage on which the wafer is mounted, and a dielectric cover ring is provided in the stepped part, covering the radio frequency ring, the cover ring substantially blocks penetration of the radio frequency power to the plasma from the radio frequency ring, and the radio frequency ring top surface is set higher than a wafer top surface.
    Type: Grant
    Filed: December 13, 2018
    Date of Patent: October 19, 2021
    Assignee: HITACHI HIGH-TECH CORPORATION
    Inventors: Naoki Yasui, Norihiko Ikeda, Tooru Aramaki, Yasuhiro Nishimori
  • Patent number: 11075087
    Abstract: A method includes mounting a wafer on a chuck disposed within a chamber of an etching system, the wafer being encircled by a focus ring. While etching portions of the wafer, an etch direction is adjusted to a first desired etch direction by adjusting a vertical position of the focus ring relative to the wafer to a first desired vertical position. While etching portions of the wafer, the etch direction is adjusted to a second desired etch direction by adjusting the vertical position of the focus ring relative to the wafer to a second desired vertical position. The second desired vertical position is different from the first desired vertical position. The second desired etch direction is different from the first desired etch direction.
    Type: Grant
    Filed: October 25, 2019
    Date of Patent: July 27, 2021
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yu-Chi Lin, Chin-Hsing Lin, Hung Jui Chang, Yi-Wei Chiu, Yu-Wei Kuo, Yu Lun Ke
  • Patent number: 11056379
    Abstract: A clamp assembly is for clamping an outer peripheral portion of a substrate to a support in a plasma processing chamber. An RF bias power is applied to the support during the plasma processing of the substrate. The clamp assembly includes an outer clamp member, and an inner clamp member which is received by the outer clamp member, the inner clamp member defining an aperture which exposes the substrate to the plasma processing. The outer clamp member has an inner portion terminating in an inner edge, wherein the inner portion is spaced apart from the inner clamp member.
    Type: Grant
    Filed: October 28, 2015
    Date of Patent: July 6, 2021
    Inventors: Anthony Barker, Huma Ashraf, Brian Kiernan
  • Patent number: 11056320
    Abstract: An apparatus comprises a housing having a process space, a support unit supporting the substrate in the process space, a process gas supply unit supplying a process gas into the process space, and a plasma source generating plasma from the process gas. The support unit comprises a support member on which the substrate is placed, a heating member that heats the substrate supported on the support member, and a heat transfer gas supply member that supplies a heat transfer gas to a backside of the substrate. The heating member comprises heaters that heat regions on the substrate on the support member viewed from above. The support member comprises a protrusion that partitions a space between the support member and the backside of the substrate placed on the support member into gas regions, and at least one of heating regions is divided into regions by the protrusion viewed from above.
    Type: Grant
    Filed: October 15, 2019
    Date of Patent: July 6, 2021
    Assignee: SEMES CO., LTD.
    Inventors: Sang-Kee Lee, Kang Rae Ha
  • Patent number: 11004715
    Abstract: A substrate supporting device having a feeder structure that enables a large number of electrodes to be successfully supplied with power. A ceramic heater 100 includes a base 10 having an upper surface as a support surface on which a substrate is supported, electrodes 20 embedded in the base 10, a base-supporting member 30 that is mounted on a lower surface of the base 10 and that is formed of a heat insulating material, and feeder rods 40 that extend through respective through-holes 35 formed in a circumferential wall 34 of the base-supporting member 30 and extending in the vertical direction and that are electrically connected to the electrodes 20.
    Type: Grant
    Filed: February 6, 2017
    Date of Patent: May 11, 2021
    Assignee: NGK SPARK PLUG CO., LTD.
    Inventors: Noriaki Tokusho, Shunichi Sasaki, Tomohiro Ishino, Hisanori Aoyama, Makoto Hino, Kenichi Fukazawa, Atsushi Tsuchida, Toshiya Umeki
  • Patent number: 10916442
    Abstract: Disclosed is a method for etching an etching target layer which contains silicon and is provided with a metal-containing mask thereon. The method includes: generating plasma of a first processing gas containing a fluorocarbon gas in a processing container that accommodates the etching target layer and the mask to form a fluorocarbon-containing deposit on the mask and the etching target layer; and generating plasma of a second processing gas containing an inert gas in the processing container to etch the etching target layer by radicals of the fluorocarbon contained in the deposit. A plurality of sequences, each including the generating the plasma of the first processing gas and the generating the plasma of the second processing gas, are performed.
    Type: Grant
    Filed: January 10, 2018
    Date of Patent: February 9, 2021
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Takayuki Katsunuma
  • Patent number: 10910238
    Abstract: Implementations of the disclosure generally relate to a semiconductor processing chamber and, more specifically, a heated support pedestal for a semiconductor processing chamber. In one implementation, a pedestal assembly is disclosed and includes a substrate support comprising a dielectric material and having a support surface for receiving a substrate, a resistive heater encapsulated within the substrate support, a hollow shaft coupled to a support member of the substrate support at a first end of the shaft, and a thermally conductive material disposed at an interface between the support member and the first end of the shaft.
    Type: Grant
    Filed: September 13, 2017
    Date of Patent: February 2, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Kaushik Alayavalli, Ajit Balakrishna, Sanjeev Baluja, Amit Kumar Bansal, Matthew James Busche, Juan Carlos Rocha-Alvarez, Swaminathan T. Srinivasan, Tejas Ulavi, Jianhua Zhou
  • Patent number: 10876218
    Abstract: A substrate supporting plate that may prevent deposition on a rear surface of a substrate and may easily unload the substrate. The substrate supporting plate may include a substrate mounting portion and a peripheral portion surrounding the substrate mounting portion. An edge portion of a top surface of the substrate mounting portion may be anodized. A central portion of the top surface of the substrate mounting portion may not be anodized.
    Type: Grant
    Filed: March 6, 2017
    Date of Patent: December 29, 2020
    Assignee: ASM IP HOLDING B.V.
    Inventors: Yong Min Yoo, Jong Won Shon, Seung Woo Choi, Dong Seok Kang
  • Patent number: 10854485
    Abstract: An electrostatic chuck, a substrate processing apparatus, and a method of manufacturing a semiconductor device are provided. The electrostatic chuck comprises a chuck base, an insulation plate on the chuck base, a first heater comprising a cell heater in the insulation plate, and a heater controller configured to control the cell heater. The heater controller obtains a resistance of the cell heater and compares the resistance with a threshold value to control a heating power provided to the cell heater.
    Type: Grant
    Filed: December 4, 2019
    Date of Patent: December 1, 2020
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Minsung Kim, Myoung Soo Park, Dongyun Yeo, Dougyong Sung, Suho Lee, Yun-Kwang Jeon
  • Patent number: 10825708
    Abstract: Process kit components for use with a substrate support of a process chamber are provided herein. In some embodiments, a process kit ring may include a ring shaped body having an outer edge, an inner edge, a top surface and a bottom, wherein the outer edge has a diameter of about 12.473 inches to about 12.479 inches and the inner edge has a diameter of about 11.726 inches to about 11.728 inches, and wherein the ring shaped body has a height of about 0.116 to about 0.118 inches; and a plurality of protrusions disposed on the top surface of the ring shaped body, each of the plurality of protrusions disposed symmetrically about the ring shaped body.
    Type: Grant
    Filed: October 12, 2012
    Date of Patent: November 3, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Valentin Todorow, Samer Banna, Imad Yousif, Albert Wang, Gary Leray
  • Patent number: 10790111
    Abstract: The objective of the present invention is to provide a charged-particle beam device wherein suppressing the effects of static build-up is compatible with executing high-throughput measurements and examination. In order to achieve this objective, proposed is the charged-particle beam device equipped with an electrostatic chuck (803), comprising an electrometer (11) for measuring the electric potential of the electrostatic chuck, a charge removing device (805) for removing charge from the electrostatic chuck, and a control device (806) for controlling the charge removing device in such a manner that the charge removal by the charge removing device is executed after reaching a certain number of processed samples irradiated by the charged particle beam, or after a predetermined processing time.
    Type: Grant
    Filed: February 24, 2017
    Date of Patent: September 29, 2020
    Assignee: Hitachi High-Tech Corporation
    Inventors: Seiichiro Kanno, Hiroyuki Andou
  • Patent number: 10777392
    Abstract: There is provided a focus ring that is capable of preventing deposits from adhering to a member having a lower temperature in a gap between two members having different temperatures. A focus ring 25 is disposed to surround a peripheral portion of a wafer W in a chamber 11 of a substrate processing apparatus 10. The focus ring 25 includes an inner focus ring 25a and an outer focus ring 25b. Here, the inner focus ring 25a is placed adjacent to the wafer W and configured to be cooled; and the outer focus ring 25b is placed so as to surround the inner focus ring 25a and configured not to be cooled. Further, a block member 25c is provided in a gap between the inner focus ring 25a and the outer focus ring 25b.
    Type: Grant
    Filed: March 26, 2018
    Date of Patent: September 15, 2020
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Jun Yamawaku, Chishio Koshimizu
  • Patent number: 10770337
    Abstract: A lift pin assembly includes a lift pin having a first longitudinal axis substantially parallel with a first direction, a pin connection block combined with a lower end portion of the lift pin and including a first guide recess in a lower end portion of the pin connection block, the first guide recess extending in a second direction substantially perpendicular to the first direction, and a lift pin holder having a second longitudinal axis substantially parallel with the first direction and including a first sliding portion to be received movably in the second direction within the first guide recess by an eccentricity distance of the second longitudinal axis from the first longitudinal axis when the lift pin holder is connected to the lower end portion of the pin connection block.
    Type: Grant
    Filed: April 4, 2018
    Date of Patent: September 8, 2020
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Ja-Woo Lee, Seung-Won Shin, Su-Ho Lee
  • Patent number: 10770283
    Abstract: A substrate aligning method includes receiving a substrate by moving a substrate support from an outside of an outer periphery toward a central portion of the substrate along the substrate; and aligning the substrate such that the substrate support moves from a position different from a position partially upwardly warped along an outer peripheral edge of the substrate and a position partially downwardly warped along the outer peripheral edge of the substrate toward the central portion of the substrate so as to receive the substrate.
    Type: Grant
    Filed: December 6, 2016
    Date of Patent: September 8, 2020
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Keiji Onzuka, Hirozumi Hoshino
  • Patent number: 10739671
    Abstract: In a method of manufacturing a photo mask, a resist layer is formed over a mask blank, which includes a mask substrate, a phase shift layer disposed on the mask substrate and a light blocking layer disposed on the phase shift layer. A resist pattern is formed by using a lithographic operation. The light blocking layer is patterned by using the resist pattern as an etching mask. The phase shift layer is patterned by using the patterned light blocking layer as an etching mask. A border region of the mask substrate is covered with an etching hard cover, while a pattern region of the mask substrate is opened. The patterned light blocking layer in the pattern region is patterned through the opening of the etching hard cover. A photo-etching operation is performed on the pattern region to remove residues of the light blocking layer.
    Type: Grant
    Filed: February 26, 2018
    Date of Patent: August 11, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chun-Chieh Tien, Cheng-Hsuen Chiang, Chih-Ming Chen, Cheng-Ming Lin, Yen-Wei Huang, Hao-Ming Chang, Kuo Chin Lin, Kuan-Shien Lee
  • Patent number: 10714318
    Abstract: In a plasma processing method, a position in height direction of an upper surface of a focus ring surrounding an edge of a substrate mounted on a supporting table in a chamber of a plasma processing apparatus is set such that the position in height direction of the upper surface of the focus ring mounted on a mounting region of the supporting table is lower than a reference position that is a position in a height direction of an upper surface of the substrate. Plasma is generated in the chamber to perform plasma processing on the substrate in a state where the position in the height direction of the upper surface of the focus ring is maintained. A negative DC voltage is applied to the focus ring in a state where the position in height direction of the upper surface of the focus ring is maintained during the plasma generation.
    Type: Grant
    Filed: February 22, 2019
    Date of Patent: July 14, 2020
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Toshifumi Nagaiwa
  • Patent number: 10692745
    Abstract: The present disclosure relates to a substrate processing apparatus, and more particularly, to a substrate processing apparatus capable of blocking particles falling from a lower portion of a substrate to a surface of a lower substrate. The substrate processing apparatus in accordance with an exemplary embodiment may include a substrate boat including a plurality of hollow plates coupled to a plurality of rods in a multistage manner, wherein a plurality of substrates are respectively loaded on the plurality of hollow plates, a reaction tube having an accommodation space in which the substrate boat is accommodated, a gas supply part configured to supply a process gas into the reaction tube from one side of the reaction tube, and an exhaust part configured to exhaust a process residue in the reaction tube from the other side of the reaction tube. Each of the hollow plates may include an edge portion defining a hollow portion vertically passing therethrough.
    Type: Grant
    Filed: December 23, 2017
    Date of Patent: June 23, 2020
    Assignee: EUGENE TECHNOLOGY CO., LTD.
    Inventor: Sung Ha Choi
  • Patent number: 10607818
    Abstract: An embodiment includes a support unit, substrate treating apparatus and substrate treating method. The substrate treating apparatus comprises: a process chamber having a treatment space inside thereof; a support unit for supporting a substrate inside of the process chamber; and a gas supply unit for supplying the treatment gas into the treatment space, wherein the support unit comprises: an electrode layer of a metal material to which a high frequency electric power can be applied; a ground line having one end connected to the electrode layer and the other end grounded; and a switch provided on the ground line.
    Type: Grant
    Filed: May 26, 2017
    Date of Patent: March 31, 2020
    Assignee: SEMES CO., LTD.
    Inventors: Doo Ho Lim, Chang-Seung Ha, Seungbae Lee
  • Patent number: 10512125
    Abstract: Provided is a mounting table according to one aspect of the present disclosure includes: a ceramic body; a heater provided in the ceramic body; a base including a support surface that supports the ceramic body and provides a space for accommodating a temperature sensor as a space that is opened at least at the support surface side; and a heat transfer body extending between a first end provided in the ceramic body and a second end that is positioned above the space and provided closer to the space than the first end, the heat transfer body having a heat conductivity that is higher than that of the ceramic body around the heat transfer body.
    Type: Grant
    Filed: December 21, 2015
    Date of Patent: December 17, 2019
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Dai Kitagawa
  • Patent number: 10465290
    Abstract: Disclosed is a substrate processing apparatus. The substrate processing apparatus comprises a process chamber providing an inner space where a substrate is treated, a support unit disposed in the inner space and supporting the substrate, and a gas supply unit providing the inner space with a process gas required for generating plasma. The support unit comprises a base having a top surface on which the substrate is placed, a heater disposed in the base, and a coating layer formed on the top surface of the base.
    Type: Grant
    Filed: March 24, 2017
    Date of Patent: November 5, 2019
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Minjong Kim, Seonggil Park, Jaebeom Park, Jung-soo Yoon, Keeyoung Jun, Choongrae Cho, Jongwon Hong
  • Patent number: 10438833
    Abstract: A substrate support includes an inner portion arranged to support a substrate, a lift ring surrounding the inner portion, the lift ring arranged to support an outer edge of the substrate, and a controller configured to control an actuator to adjust a height of the lift ring relative to the inner portion by selectively raising and lowering at least one of the lift ring and the inner portion of the substrate support. To adjust the height of the lift ring, the controller selectively adjusts the height of the lift ring to a transfer height for transfer of the substrate to the lift ring and retrieval of the substrate from the lift ring, and adjusts the height of the lift ring to a processing height for processing of the substrate.
    Type: Grant
    Filed: February 8, 2017
    Date of Patent: October 8, 2019
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Brian Severson, Ivelin Angelov, James Eugene Caron
  • Patent number: 10347475
    Abstract: A holding assembly for retaining a deposition ring about a periphery of a substrate support in a substrate processing chamber, the deposition ring comprising a peripheral recessed pocket with a holding post. The holding assembly comprises a restraint beam capable of being attached to the substrate support, the restraint beam comprising two ends, and an anti-lift bracket. The anti-lift bracket comprises a block comprising a through-channel to receive an end of a restraint beam, and a retaining hoop attached to the block, the retaining hoop sized to slide over and encircle the holding post in the peripheral recessed pocket of the deposition ring.
    Type: Grant
    Filed: September 7, 2015
    Date of Patent: July 9, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Kathleen Scheible, Michael Allen Flanigan, Goichi Yoshidome, Adolph Miller Allen, Christopher Pavloff
  • Patent number: 10157764
    Abstract: A thermal shield is disclosed that may be disposed between a heated electrostatic chuck and a base. The thermal shield comprises a thermal insulator, such as a polyimide film, having a thickness of between 1 and 5 mils. The polyimide film is coated on one side with a layer of reflective material, such as aluminum. The layer of reflective material may be between 30 and 100 nanometers. The thermal shield is disposed such that the layer of reflective material is closer to the chuck. Because of the thinness of the layer of reflective material, the thermal shield does not retain a significant amount of heat. Further, the temperature of the thermal shield remains far below the glass transition temperature of the polyimide film.
    Type: Grant
    Filed: June 6, 2016
    Date of Patent: December 18, 2018
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Dale K. Stone, David J. Chipman
  • Patent number: 10157768
    Abstract: An apparatus of an embodiment includes: a processing-chamber; a susceptor capable of supporting a substrate, the susceptor including a first member having an opening in a central portion, and a second member covering the opening; a support configured to support and rotate the susceptor in the processing-chamber; and a lift disposed in the support, and capable of moving up and down at least one of the first member and the second member, wherein the support is capable of rotating the susceptor to have predefined phases with respect to the lift, and when the lift moves up, the lift is brought into contact with the first member if the susceptor is in a first phase, and the lift is brought into contact with the second member if the susceptor is in a second phase that is different from the first phase.
    Type: Grant
    Filed: September 25, 2017
    Date of Patent: December 18, 2018
    Assignee: NuFlare Technology, Inc.
    Inventors: Yoshikazu Moriyama, Naohisa Ikeya, Kunihiko Suzuki
  • Patent number: 10056284
    Abstract: A method of manufacturing an electrostatic chuck includes bonding an electrostatic puck to a metal base plate, wherein the electrostatic puck has an electrode embedded in the electrostatic puck. The method further includes subsequently polishing a surface of the electrostatic puck to a flatness of below 10 microns and an average surface roughness of approximately 2-6 micro-inches. The method further includes subsequently forming surface features on a surface of the electrostatic puck, the surface features comprising mesas and a sealing band around a perimeter of the electrostatic puck.
    Type: Grant
    Filed: May 15, 2017
    Date of Patent: August 21, 2018
    Assignee: Applied Materials, Inc.
    Inventors: Vijay D. Parkhe, Kadthala Ramaya Narendrnath
  • Patent number: 10020218
    Abstract: A method of manufacturing an electrostatic chuck includes polishing a surface of a ceramic body of the electrostatic chuck to produce a polished surface and depositing a ceramic coating onto the polished surface of the ceramic body to produce a coated ceramic body. The method further includes disposing a mask over the coated ceramic coating, the mask comprising a plurality of elliptical holes and depositing a ceramic material through the plurality of elliptical holes of the mask to form a plurality of elliptical mesas on the coated ceramic body, wherein the plurality of elliptical mesas have rounded edges. The mask is then removed from the coated ceramic body and the plurality of elliptical mesas are polished.
    Type: Grant
    Filed: November 17, 2015
    Date of Patent: July 10, 2018
    Assignee: Applied Materials, Inc.
    Inventors: Wendell Glenn Boyd, Jr., Vijay D. Parkhe, Teng-Fang Kuo, Zhenwen Ding
  • Patent number: 9881772
    Abstract: Circuits, methods, chambers, systems, and computer programs are presented for processing wafers. A wafer processing apparatus includes top and bottom electrodes inside a processing chamber; a first, second, third, and fourth radio frequency (RF) power sources; and one or more resonant circuits. The first, second, and third RF power sources are coupled to the bottom electrode. The top electrode may be coupled to the fourth RF power source, to electrical ground, or to the one or more resonant circuits. Each of the one or more resonant circuits, which are coupled between the top electrode and electrical ground, include a tune-in element operable to vary a frequency-dependent impedance presented by the resonant circuit. The wafer processing apparatus is configurable to select the RF power sources for wafer processing operations, as well as the connections to the top electrode in order to provide plasma and etching uniformity for the wafer.
    Type: Grant
    Filed: March 28, 2012
    Date of Patent: January 30, 2018
    Assignee: Lam Research Corporation
    Inventors: Alexei Marakhatanov, Rajinder Dhindsa
  • Patent number: 9787222
    Abstract: Provided is an electrostatic attraction apparatus in which a first insulating layer is formed on a base in an electrostatic chuck. A first portion of the first insulating layer extends on a first face of the base and a second portion of the first insulating layer extends on at least a portion of a second face of the base. An attraction electrode is formed on the first portion of the first insulating layer. A second insulating layer is formed on the first portion of the first insulating layer and the attraction electrode. A conductor pattern extends from the attraction electrode and provides a power supply terminal on the second portion of the first insulating layer. A contact part of a terminal member urged by an urging unit is in contact with the power supply terminal. The terminal member is connected with a wiring line connected to a supply power.
    Type: Grant
    Filed: May 26, 2015
    Date of Patent: October 10, 2017
    Assignee: Tokyo Electron Limited
    Inventors: Kaoru Yamamoto, Shinji Orimoto, Naoyuki Suzuki
  • Patent number: 9779196
    Abstract: Systems and methods for segmenting an impedance matching model are described. One of the methods includes receiving the impedance matching model. The impedance matching model represents an impedance matching circuit, which is coupled to an RF generator via an RF cable and to a plasma chamber via an RF transmission line. The method further includes segmenting the impedance matching model into two or more modules of a first set. Each module includes a series circuit and a shunt circuit. The shunt circuit is coupled to the series circuit. The series circuit of the first module is coupled to a cable model and the series circuit of the second module is coupled to an RF transmission model. The series circuit and the shunt circuit of the first module are coupled to the series circuit of the second module. The shunt circuit of the second module is coupled to the RF transmission model.
    Type: Grant
    Filed: April 4, 2014
    Date of Patent: October 3, 2017
    Assignee: Lam Research Corporation
    Inventors: John C. Valcore, Jr., Arthur M. Howald
  • Patent number: 9725824
    Abstract: A graphite wafer carrier for LED epitaxial wafer processes, having a plurality of wafer pocket profiles above the carrier for carrying the epitaxial wafer substrate. The inner edge of the wafer pocket profile is a concave step with a plurality of inward-extended support portions; and also has a graphite wafer carrier edge and an axle hole at the center of the graphite wafer carrier. The pocket profiles of different quantities and sizes can be arranged on the basis of different process parameters. The disclosed structure can reduce or eliminate airflow interference and improve the wafer edge yield.
    Type: Grant
    Filed: December 31, 2014
    Date of Patent: August 8, 2017
    Assignee: XIAMEN SANAN OPTOELECTRONICS TECHNOLOGY CO., LTD.
    Inventors: Hsiang-Pin Hsieh, Qi Nan, Lei Pan
  • Patent number: 9678445
    Abstract: A substrate holder for a lithographic apparatus has a planarization layer provided on a surface thereof. The planarization layer provides a smooth surface for the formation of an electronic component such as a thin film electronic component. The planarization layer may be provided in multiple sub layers. The planarization layer may smooth over roughness caused by removal of material from a blank to form burls on the substrate holder.
    Type: Grant
    Filed: August 10, 2016
    Date of Patent: June 13, 2017
    Assignee: ASML Netherlands B.V.
    Inventors: Raymond Wilhelmus Louis Lafarre, Nicolaas Ten Kate, Nina Vladimirovna Dziomkina, Yogesh Pramod Karade
  • Patent number: 9666414
    Abstract: Methods and process chambers for etching of low-k and other dielectric films are described. For example, a method includes modifying portions of the low-k dielectric layer with a plasma process. The modified portions of the low-k dielectric layer are etched selectively over a mask layer and unmodified portions of the low-k dielectric layer. Etch chambers having multiple chamber regions for alternately generating distinct plasmas are described. In embodiments, a first charge coupled plasma source is provided to generate an ion flux to a workpiece in one operational mode, while a secondary plasma source is provided to provide reactive species flux without significant ion flux to the workpiece in another operational mode. A controller operates to cycle the operational modes repeatedly over time to remove a desired cumulative amount of the dielectric material.
    Type: Grant
    Filed: October 12, 2012
    Date of Patent: May 30, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Dmitry Lubomirsky, Srinivas Nemani, Ellie Yieh, Sergey G. Belostotskiy
  • Patent number: 9557656
    Abstract: A stage apparatus includes a first movable stage that moves while holding an article, an electrical contact that is provided on the first movable stage, and a grounding device that comes into contact with the electrical contact and grounds the first movable stage when the article is not processed.
    Type: Grant
    Filed: November 30, 2005
    Date of Patent: January 31, 2017
    Assignee: NIKON CORPORATION
    Inventor: Yuichi Shibazaki