Adhesively Bonding Resist To Substrate Patents (Class 216/43)
  • Patent number: 10280299
    Abstract: A composition for three-dimensional printing includes a liquid, curable, cross-linkable monomer, solid thermoplastic particles mixed with the liquid, curable, cross-linkable monomer, and a light sensitive initiator mixed with the liquid, curable, cross-linkable monomer. The solid thermoplastic particles have a size ranging from about 200 nm to about 50 ?m.
    Type: Grant
    Filed: November 19, 2012
    Date of Patent: May 7, 2019
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Sivapackia Ganapathiappan, Krzysztof Nauka, Hou T. Ng
  • Patent number: 10096477
    Abstract: An etch process that includes removing an oxide containing surface layer from a semiconductor surface to be etched by applying a hydrofluoric (HF) based chemistry, wherein the hydrofluoric (HF) based chemistry terminates the semiconductor surface to be etched with silicon-hydrogen bonds, and applying a vapor priming agent bearing chemical functionality based on the group consisting of alkynes, alcohols and a combination thereof to convert the silane terminated surface to a hydrophobic organic surface. The method continues with forming a photoresist layer on the hydrophobic organic surface; and patterning the photoresist layer. Thereafter, the patterned portions of the photoresist are developed to provide an etch mask. The portions of the semiconductor surface exposed by the etch mask are then etched.
    Type: Grant
    Filed: February 15, 2017
    Date of Patent: October 9, 2018
    Assignee: International Business Machines Corporation
    Inventors: Martin Glodde, Dario L. Goldfarb
  • Patent number: 9397296
    Abstract: A method of manufacturing an organic light emitting display apparatus is presented. The method includes providing a device substrate on which a first electrode and a pixel definition layer covering a portion of the first electrode are formed, forming a master substrate on which a transfer mask is patterned to mirror positions of the pixel definition layer, coupling the transfer mask to the pixel definition layer, forming an organic material layer on the exposed portion of the first electrode using the transfer mask as a protection layer, and removing the transfer mask. The transfer mask may replace a deposition metal mask.
    Type: Grant
    Filed: January 17, 2014
    Date of Patent: July 19, 2016
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventor: Kyong Tae Yu
  • Publication number: 20150053643
    Abstract: Hot melt compositions include non-aromatic cyclic (alkyl)acrylates and low acid number waxes. Upon application of actinic radiation, the hot melt compositions cure to form resists. They may be stripped from substrates with high alkaline strippers. The hot melt compositions may be used in the manufacture of printed circuit boards and photovoltaic devices.
    Type: Application
    Filed: August 20, 2013
    Publication date: February 26, 2015
    Inventors: Krishna BALANTRAPU, Robert K. BARR
  • Patent number: 8920663
    Abstract: A method for producing a security element having microdepressions for security papers, with the microdepressions being colored with a certain color, involves the steps of (a) coating an upper side of a carrier with an embossable layer; (b1) forming microdepressions in the embossable layer to configure an embossed layer; (c) applying the certain color on the upper side, so that the color remains in the microdepressions; (b2) applying a structured protective layer on the coated upper side, wherein the structured protective layer does not cover the microdepressions that are to be colored with the certain color, after step (b1) and before step (c); and removing the structured protective layer and thereby a color toning after step (c).
    Type: Grant
    Filed: November 4, 2010
    Date of Patent: December 30, 2014
    Assignee: Giesecke & Devrient GmbH
    Inventor: Mario Keller
  • Patent number: 8916053
    Abstract: A pattern forming method according to an embodiment includes: forming a pattern film on a first substrate, the pattern film having a concave-convex pattern, the pattern film being made of a material containing a first to-be-imprinted agent; forming a material film on a second substrate, the material film containing a second to-be-imprinted agent having a higher etching rate than an etching rate of the first to-be-imprinted agent; transferring the concave-convex pattern of the pattern film onto the material film by applying pressure between the first substrate and the second substrate, with the pattern film being positioned to face the material film, and by curing the second to-be-imprinted agent; detaching the first substrate from the pattern film; and removing the material film by etching, to leave the pattern film on the second substrate.
    Type: Grant
    Filed: June 20, 2012
    Date of Patent: December 23, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yoshiaki Kawamonzen, Yasuaki Ootera, Akiko Yuzawa, Naoko Kihara, Yoshiyuki Kamata, Hiroyuki Hieda, Norikatsu Sasao, Ryosuke Yamamoto, Takeshi Okino, Tomoyuki Maeda, Takuya Shimada
  • Patent number: 8882953
    Abstract: Disclosed is a method for fabricating a cliché that can prevent formation of a defective thin film pattern, and a method for forming a thin film pattern using the same. The method for fabricating a cliché includes providing a base substrate having first and second regions, forming a first depressed pattern having a first depth and a first width at a first region, and a second depressed pattern having a second width greater than the first width and a depth the same with the first depth at a second region, forming a protective film for exposing the second region and covering the first region, the protective film having adhesivity, forming the second depressed pattern to have a second depth deeper than the first depth of the first depressed pattern at the first region by using the protective film having the adhesivity, and removing the protective film.
    Type: Grant
    Filed: October 25, 2010
    Date of Patent: November 11, 2014
    Assignee: LG Display Co., Ltd.
    Inventors: Yun-Ho Kook, Chul-Ho Kim, Sang-Chul Jung, Jeong-Hoon Lee, Nam-Kook Kim, Jun-Young Yang
  • Patent number: 8845912
    Abstract: Means, apparatus, systems, and/or methods are described for forming improved rigid or flexible semi-transparent imprinting templates. These templates can be used to produce patterning masks having improved resolution that do not require plasma etching for residue removal. The methods and apparatus are compatible with roll-to-roll manufacturing processes and enable roll-to-roll formation of a wide range of metal patterned films.
    Type: Grant
    Filed: November 22, 2011
    Date of Patent: September 30, 2014
    Assignee: MicroContinuum, Inc.
    Inventor: W. Dennis Slafer
  • Patent number: 8808557
    Abstract: In one embodiment, a pattern forming method includes forming a physical guide that includes a first pattern in a first region and a second pattern in a second region on an underlying film, embedding a polymer material into a concave portion of the physical guide, microphase-separating the polymer material, to form a self-assembly pattern having a first and a second polymer sections, observing the self-assembly pattern in the second region, to determine from an observation result whether or not the self-assembly pattern in the first region has a predetermined shape, and selectively removing the first polymer section in the case of determining that the self-assembly pattern in the first region has the predetermined shape. The second pattern includes a pattern with a larger coverage ratio than the first pattern and a pattern with a smaller coverage ratio than the first pattern.
    Type: Grant
    Filed: July 26, 2013
    Date of Patent: August 19, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yuriko Seino, Hiroki Yonemitsu
  • Patent number: 8658049
    Abstract: A method for manufacturing a touch panel includes the following steps. A mother plate is provided. A plurality of adhesive materials are formed on the mother plate. A plurality of cover glasses are disposed on the adhesive materials respectively. The adhesive materials are cured, whereby the cover glasses are attached to the mother plate. A plurality of circuit units are formed on the cover glasses respectively. The cover glass having the circuit unit is removed from the mother plate, wherein the bonding strength of the cured adhesive material is within a range about between 5 g/25 mm and 600 g/25 mm, whereby the adhesive material provides enough adhesive force between the cover glass and the mother plate, and the adhesive material cannot be stayed on a surface of the cover glass during a removing process.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: February 25, 2014
    Assignee: HannStar Display Corporation
    Inventor: Tsung-Hsien Yen
  • Patent number: 8623226
    Abstract: A method of making a shaped electrical conductor (610, 630) includes providing a first sheet of metal (319) and applying a first and second thermoplastic adhesive pattern (311, 312) to a first and a second surface thereof. The second pattern is are fully justified with the applied first pattern. The first sheet is etched to remove metal not covered by the patterns so that no metal bridges remain between disconnected coated portions. A second sheet of metal (339) is provided and a third and fourth thermoplastic adhesive pattern (333, 334) is applied to a first and second surface thereof. The third and fourth patterns are fully justified. The second sheet is etched as for the first sheet. Contact regions (315, 335) in the second and third patterns are joined to form electrical contact between the first and second sheets.
    Type: Grant
    Filed: April 12, 2012
    Date of Patent: January 7, 2014
    Assignee: Eastman Kodak Company
    Inventors: Donald S. Rimai, Roland R. Schindler, II, Christopher J. White
  • Patent number: 8524092
    Abstract: A dry adhesive and a method of forming a dry adhesive. The method includes forming an opening through an etch layer and to a barrier layer, expanding the opening in the etch layer at the barrier layer, filling the opening with a material, removing the barrier layer from the material in the opening, and removing the etch layer from the material in the opening.
    Type: Grant
    Filed: December 14, 2007
    Date of Patent: September 3, 2013
    Assignee: Carnegie Mellon University
    Inventors: Metin Sitti, Seok Kim
  • Patent number: 8475671
    Abstract: The invention relates to a method for the local etching of the surface of a substrate, characterized in that it comprises: a) making a gas-pervious polymer pad that comprises three-dimensional patterns on one surface thereof; b) contacting the surface including the pad patterns with the substrate; c) submitting the pad/substrate assembly to a plasma so that the species present in the plasma are accelerated and diffused through the pad until they reach the substrate.
    Type: Grant
    Filed: December 23, 2008
    Date of Patent: July 2, 2013
    Assignee: Centre National de la Recherche Scientifique
    Inventor: Laurent Jalabert
  • Patent number: 8388852
    Abstract: A method for fabricating a touch sensor panel is disclosed. The method includes providing a substrate for the touch sensor panel, depositing a conductive material layer on a top surface of the substrate, depositing a metal layer on top of the conductive material layer, affixing a resist to a first area of the metal layer, the resist also adapted to serve as a passivation layer during passivation, removing metal from the metal layer outside of the first area; and performing passivation on the substrate while leaving the affixed resist intact.
    Type: Grant
    Filed: July 30, 2010
    Date of Patent: March 5, 2013
    Assignee: Apple Inc.
    Inventors: Lili Huang, Siddharth Mohapatra, John Z. Zhong
  • Patent number: 8329089
    Abstract: A resist composition used for the imprint lithography process, a method for forming resist pattern using the same, an array substrate manufactured using the same, and method of fabricating the array substrate includes an additive and the adhesion promoter inducing the chemical bond of the base layer contacting to the UV curable resin. As a result, it is possible for the resist composition to form a high-resolution pattern and to improve the durability of the mold for molding a UV curable resin.
    Type: Grant
    Filed: April 23, 2007
    Date of Patent: December 11, 2012
    Assignee: LG Display Co., Ltd.
    Inventors: Jin Wuk Kim, Yeon Heui Nam
  • Patent number: 8252191
    Abstract: The present invention provides a method of sub-micron decal transfer lithography. The method includes forming a first pattern in a surface of a first silicon-containing elastomer, bonding at least a portion of the first pattern to a substrate, and etching a portion of at least one of the first silicon-containing elastomer and the substrate.
    Type: Grant
    Filed: May 5, 2006
    Date of Patent: August 28, 2012
    Assignee: Dow Corning Corporation
    Inventors: Ahn Heejoon, Ralph Nuzzo, Anne Shim
  • Patent number: 8202442
    Abstract: New protective coating layers for use in wet etch processes during the production of semiconductor and MEMS devices are provided. The layers include a primer layer, a first protective layer, and an optional second protective layer. The primer layer preferably comprises an organo silane compound in a solvent system. The first protective layer includes thermoplastic copolymers prepared from styrene, acrylonitrile, and optionally other addition-polymerizable monomers such as (meth)acrylate monomers, vinylbenzyl chloride, and diesters of maleic acid or fumaric acid. The second protective layer comprises a highly halogenated polymer such as a chlorinated polymer which may or may not be crosslinked upon heating.
    Type: Grant
    Filed: September 17, 2007
    Date of Patent: June 19, 2012
    Assignee: Brewer Science Inc.
    Inventors: Chenghong Li, Kimberly A. Yess, Tony D. Flaim
  • Patent number: 8097176
    Abstract: Methods, materials, and systems for texturizing mold surfaces is disclosed. In one method and system of the invention, a first step involves generating a graphics file of a desired texture pattern. The graphics file is subsequently output to an ink jet printer, which is configured to print using an acid-etch resist ink. The acid-etch resist ink is formulated to provide optimal properties for ink-jet printing, while also providing excellent acid-etch resist and superior handling properties. The acid-etch resist ink is printed onto a sheet of a carrier substrate that allows the acid-etch resist to be transferred to a mold surface, after which the mold surface is etched with a strong acid.
    Type: Grant
    Filed: January 19, 2007
    Date of Patent: January 17, 2012
    Assignee: Ikonics Corporation
    Inventors: Toshifumi Komatsu, Jeremy W. Peterson, Alexander S. Gybin
  • Patent number: 8066891
    Abstract: The present invention provides a laminate comprising an insulating layer having suppressed dusting properties, an insulating film comprising the insulating layer, and an electronic circuit component comprising a pattern of the insulating layer. The laminate has a layer construction of first inorganic material layer-insulating layer-second inorganic material layer or a layer construction of inorganic material layer-insulating layer. The insulating layer comprises a laminate of two or more wet etchable insulating unit layers. At the interface between the inorganic material layer and the insulating layer, surface irregularities of the inorganic material layer have been transferred onto the surface of the insulating layer. The average height of the surface irregularities transferred onto the insulating layer is less than the thickness of the outermost insulating unit layer in the insulating layer.
    Type: Grant
    Filed: October 6, 2006
    Date of Patent: November 29, 2011
    Assignee: Dai Nippon Printing Co., Ltd.
    Inventors: Katsuya Sakayori, Terutoshi Momose, Tomoko Togashi, Shigeki Kawano, Michiaki Uchiyama, Kazuto Okamura, Kazutoshi Taguchi, Kazunori Ohmizo, Makoto Shimose
  • Patent number: 7988871
    Abstract: A method of lifting off includes forming a first material layer on a substrate; forming a photoresist pattern including first and second holes and on the first material layer; patterning the first material layer using the photoresist pattern as a patterning mask to form a material pattern having first and second grooves within the material pattern, the first and second grooves corresponding to the first and second holes, respectively; forming a second material layer on an entire surface of the substrate including the photoresist pattern and the first and second grooves; and removing the photoresist pattern and the second material layer on the photoresist pattern at the same time, wherein a portion of the material pattern between the first and second grooves and portions of the material pattern at sides of the first and second grooves constitute a line as a whole.
    Type: Grant
    Filed: July 18, 2008
    Date of Patent: August 2, 2011
    Assignee: LG Display Co., Ltd.
    Inventors: Hee-Young Kwack, Hyun-Seok Hong, Joo-Soo Lim, Hong-Sik Kim
  • Patent number: 7985463
    Abstract: Methods are provided for producing large volumes of small antenna arrays. In one embodiment, the method comprises the steps of creating an antenna array pattern as a computer file, printing the created pattern onto the surface of a suitable transfer paper, placing the printed image surface in contact with the surface of a material to be etched, and transferring the printed image to the surface of the material to be etched. The transfer can be effected by any combination of chemicals, heat, and/or pressure. After transfer of the printed image, the transfer paper is removed. The step of removing the transfer paper optionally includes wetting the transfer paper in a manner that dissolves the transfer paper leaving the printed antenna pattern on the surface of the material to be etched.
    Type: Grant
    Filed: March 10, 2009
    Date of Patent: July 26, 2011
    Assignee: General Electric Company
    Inventors: William Randolph Stowell, Michael R. Steele, Thomas Walter Rentz
  • Publication number: 20110132527
    Abstract: Disclosed is a method for fabricating a cliché that can prevent formation of a defective thin film pattern, and a method for forming a thin film pattern using the same. The method for fabricating a cliché includes providing a base substrate having first and second regions, forming a first depressed pattern having a first depth and a first width at a first region, and a second depressed pattern having a second width greater than the first width and a depth the same with the first depth at a second region, forming a protective film for exposing the second region and covering the first region, the protective film having adhesivity, forming the second depressed pattern to have a second depth deeper than the first depth of the first depressed pattern at the first region by using the protective film having the adhesivity, and removing the protective film.
    Type: Application
    Filed: October 25, 2010
    Publication date: June 9, 2011
    Inventors: Yun-Ho KOOK, Chul-Ho KIM, Sang-Chul JUNG, Jeong-Hoon LEE, Nam-Kook KIM, Jun-Young YANG
  • Publication number: 20110117323
    Abstract: The present invention provides a surface processing method for forming recesses and protrusions on a surface of an object to be processed, at least including: a process for attaching a polymer film mask containing a binding resin and organic pigment particles which are contained in the binding resin on the surface of the object to be processed; and a process for etching the surface of the object to be processed to which the polymer film mask has been attached so as to form recesses and protrusions on the surface of the object to be processed. Also, the present invention provides a mask for surface processing used for the surface processing method.
    Type: Application
    Filed: June 18, 2009
    Publication date: May 19, 2011
    Applicant: FUJIFILM CORPORATION
    Inventor: Kimio Ichikawa
  • Publication number: 20110111173
    Abstract: This invention provides a surface processing mask having a film mask and a method for manufacturing the same. In the film mask, particles are present as a single layer without overlapping with each other or particles containing first particles and second particles having etching resistance lower than that of the first particles are contained. Moreover, the invention provides a surface processing method including disposing the film mask on the front surface of a process target, and etching the front surface to form irregularities and an optical device having a substrate processed by the surface processing method. Moreover, the invention provides a particle-containing film in which particles are arranged to form a single layer without overlapping with each other and a method for manufacturing the same.
    Type: Application
    Filed: July 15, 2009
    Publication date: May 12, 2011
    Applicant: FUJIFILM CORPORATION
    Inventors: Shotaro Ogawa, Kimio Ichikawa
  • Publication number: 20110104840
    Abstract: The present invention is concerned with etchant or etching solutions and additives therefor, a process of preparing the same, a process of patterning a substrate employing the same, a patterned substrate thus prepared in accordance with the present invention and an electronic device including such a patterned substrate. An etchant solution according to the present invention for patterned etching of at least one surface or surface coating of a substrate comprises nitric acid, a nitrite salt, a halogenated organic acid represented by the formula C(H)n(Hal)m[C(H)o(Hal)p]qC?2H, where Hal represents bromo, chloro, fluoro or b iodo, where n is 0, 1, 2 or 3, and m is 0, 1, 2 or 3, with the proviso that m+n=3; o is 0 or 1, p is 1 or 2, with the proviso that o+p=2; q is 0 or 1, with the proviso that q+m=1, 2, 3 or 4; and balance water.
    Type: Application
    Filed: November 30, 2005
    Publication date: May 5, 2011
    Applicant: KONINKLIJKE PHILIPS ELECTRONICS, N.V.
    Inventors: Dirk Burdinski, Harold Brans
  • Publication number: 20100144132
    Abstract: Methods for forming nanodots and/or a patterned material are provided. One such method involves forming a first patterning material over a base. Blades of a nanoimprint lithography template are placed within the first patterning material, wherein the blades extend along the base in a first direction. With the blades within the first patterning material, the first patterning material are cured. The blades are removed from the first patterning material to form a patterned first patterning material. The base is etched using the patterned first patterning material as a pattern to form openings in the base. The patterned first patterning material is removed from the base. A second patterning material is formed over the base and within the openings in the base. Blades of a nanoimprint lithography template are placed within the second patterning material, wherein the blades extend along the base in a second direction, which is generally perpendicular with respect to the first direction.
    Type: Application
    Filed: February 15, 2010
    Publication date: June 10, 2010
    Inventors: Krupakar M. Subramanian, Mirzafer Abatchev
  • Publication number: 20090311484
    Abstract: The present invention is directed to molecular resist compositions comprising an organic amine, methods of forming features on substrates using the molecular resists compositions and process products prepared therefrom.
    Type: Application
    Filed: May 6, 2009
    Publication date: December 17, 2009
    Applicant: Nano Terra Inc.
    Inventors: Joseph M. MCLELLAN, Brian T. Mayers, Karan Chauhan, Wajeeh Saadi
  • Publication number: 20090107953
    Abstract: A method for producing surface features and an etch masking method. A combination is provided of a block copolymer and additional material. The block copolymer includes a first block of a first polymer covalently bonded to a second block of a second polymer. The additional material is miscible with the first polymer. A film is formed of the combination directly onto a surface of a first layer. Nanostructures of the additional material self-assemble within the first polymer block. The film of the combination and the first layer are etched. The nanostructures have an etch rate lower than an etch rate of the block copolymer and lower than an etch rate of the first layer. The film is removed and features remain on the surface of the first layer. Also included is an etch masking method where the nanostructures mask portions of the first layer from said etchant.
    Type: Application
    Filed: March 28, 2008
    Publication date: April 30, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Joy Cheng, Mark W. Hart, Hiroshi Ito, Ho-Cheol Kim, Robert Miller
  • Patent number: 7510668
    Abstract: Methods are provided for producing large volumes of small antenna arrays. In one embodiment, the method comprises the steps of creating an antenna array pattern as a computer file, printing the created pattern onto the surface of a suitable transfer paper, placing the printed image surface in contact with the surface of a material to be etched, and transferring the printed image to the surface of the material to be etched. The transfer can be effected by any combination of chemicals, heat, and/or pressure. After transfer of the printed image, the transfer paper is removed. The step of removing the transfer paper optionally includes wetting the transfer paper in a manner that dissolves the transfer paper leaving the printed antenna pattern on the surface of the material to be etched.
    Type: Grant
    Filed: November 10, 2005
    Date of Patent: March 31, 2009
    Assignee: General Electric Company
    Inventors: William Randolph Stowell, Michael R. Steele, Thomas Walter Rentz
  • Publication number: 20080264902
    Abstract: A method of forming a thin film pattern includes: providing a printing roller and a substrate including a thin film; coating the printing roller with an etch-resist solution including a base polymer, a carrier solvent, a tackifier and a surfactant; removing the carrier solvent from the coated etch-resist solution thereby transitioning the etch-resist solution from liquid phase to solid phase; patterning the solid etch-resist; transferring the patterned etch-resist from the printing roller to the substrate; and patterning the thin film corresponding to the transferred etch-resist.
    Type: Application
    Filed: December 31, 2007
    Publication date: October 30, 2008
    Applicant: LG ELECTRONICS INC.
    Inventors: In-Duk Song, Seong Pil Cho
  • Publication number: 20080190888
    Abstract: The present invention provides a method of sub-micron decal transfer lithography. The method includes forming a first pattern in a surface of a first silicon-containing elastomer, bonding at least a portion of the first pattern to a substrate, and etching a portion of at least one of the first silicon-containing elastomer and the substrate.
    Type: Application
    Filed: May 5, 2006
    Publication date: August 14, 2008
    Inventors: Ahn Heejoon, Ralph Nuzzo, Anne Shim
  • Publication number: 20080190889
    Abstract: The present invention discloses a method for manufacturing a roller with microstructure, comprising the steps of: forming a protective metal layer on a roller; defining specific imprint patterns on an imprint stamp by processing the imprint stamp with a flexible mold; forming an etch mask on the embossed imprint stamp after the imprint stamp is released from the mold; wetting the imprint stamp and the etch mask thereof; adhering the etch mask onto the roller by rolling the roller on the imprint stamp; etching the roller at the portion thereof uncovered by the etch mask; and forming the roller with specific microstructure by removing the etch mask and the protective metal layer.
    Type: Application
    Filed: April 15, 2008
    Publication date: August 14, 2008
    Inventors: Kun-Chih Pan, Fuh-Yu Chang, Min-Chieh Chou
  • Patent number: 7407890
    Abstract: A method of processing a substrate of a device comprises the as following steps. Form a cap layer over the substrate. Form a dummy layer over the cap layer, the cap layer having a top surface. Etch the dummy layer forming patterned dummy elements of variable widths and exposing sidewalls of the dummy elements and portions of the top surface of the cap layer aside from the dummy elements. Deposit a spacer layer over the device covering the patterned dummy elements and exposed surfaces of the cap layer. Etch back the spacer layer forming sidewall spacers aside from the sidewalls of the patterned dummy elements spaced above a minimum spacing and forming super-wide spacers between sidewalls of the patterned dummy elements spaced less than the minimum spacing. Strip the patterned dummy elements. Expose portions of the substrate aside from the sidewall spacers. Pattern exposed portions of the substrate by etching into the substrate.
    Type: Grant
    Filed: April 21, 2006
    Date of Patent: August 5, 2008
    Assignee: International Business Machines Corporation
    Inventor: Haining S. Yang
  • Publication number: 20080169268
    Abstract: A method of forming an image. The method includes: a transfer layer on a substrate; forming on the transfer layer, an etch barrier layer; pressing a template having a relief pattern into the etch barrier layer; exposing the etch barrier layer to actinic radiation forming a cured etch barrier layer having thick and thin regions corresponding to the relief pattern; removing the template; removing the thin regions of the cured etch barrier layer; removing regions of the transfer layer not protected by the etch barrier layer; removing regions of the substrate not protected by the transfer layer and any remaining etch barrier layer; and removing remaining transfer layer. The transfer layer may be removed using a solvent, the etch barrier layer may include a release agent and an adhesion layer may be formed between the transfer layer and the etch barrier layer. A reverse tone process is also described.
    Type: Application
    Filed: March 19, 2008
    Publication date: July 17, 2008
    Inventors: Richard Anthony DiPietro, Mark Whitney Hart, Frances Anne Houle, Hiroshi Ito
  • Patent number: 7273564
    Abstract: A method of fabricating a flat panel display device including: coating an etch-resist on a thin film; forming a soft mold having a groove and a protrusion for patterning the thin film; treating an end surface of the protrusion; applying the soft mold to the etch-resist to form an etch-resist pattern; separating the soft mold from the etch-resist pattern; and etching the thin film by using the etch-resist pattern to form a thin film pattern.
    Type: Grant
    Filed: October 14, 2004
    Date of Patent: September 25, 2007
    Assignee: LG.Philips LCD Co., Ltd.
    Inventor: Jin Wuk Kim
  • Patent number: 7033516
    Abstract: A method and system for fabricating an array of electronic devices, typically a display or sensor is described. In the method, a droplet source ejects droplets of a masking material for deposit on a thin film or substrate surface to mask an element of the array of electronic devices. The temperature of the thin-film or substrate surface is controlled such that the droplets rapidly freeze upon contact with the thin-film or substrate surface. The thin-film or substrate is then etched. After etching the masking material is removed.
    Type: Grant
    Filed: December 30, 2002
    Date of Patent: April 25, 2006
    Assignee: Xerox Corporation
    Inventors: William S. Wong, Robert A. Street, Stephen D. White, Robert Matusiak
  • Patent number: 6984335
    Abstract: Redundantly constrained laminar structures as weak-link mechanisms and a novel method for manufacturing the redundantly constrained laminar structures as weak-link mechanisms are provided. The method for producing the redundantly constrained laminar structures as weak-link mechanisms is carried out by lithographic techniques. A designed pattern is repeatedly chemically etched with a mask to produce a plurality of individual identical units. The units are stacked together to form the laminar structure and are secured together with fasteners. A high quality adhesive can be applied to the sides of the laminar structure to provide the mechanism equivalent to a single piece mechanism. The redundantly constrained laminar structures as weak-link mechanisms of the invention include a stack of a plurality of thin material structures.
    Type: Grant
    Filed: July 14, 2003
    Date of Patent: January 10, 2006
    Assignee: The University of Chicago
    Inventors: Deming Shu, Thomas S. Toellner, E. Ercan Alp
  • Publication number: 20040222186
    Abstract: A HTS RF coil is disclosed having at least a factor of three improvement in the SNR over a comparable copper coil and at least a factor of six improvement in Q over a comparable copper coil. A commercially available HTS tape is formed into a loop. High-Q capacitors are soldered across the loop ends. The silver sheath covering the tape is removed through chemical etching. The coil is placed in a holder for mechanical support and protection and covered with a cover having through holes enabling the coolant to directly contact the HTS coil.
    Type: Application
    Filed: May 9, 2003
    Publication date: November 11, 2004
    Inventors: Frederick M.C. Cheng, Francis K.H. Lee, Edward S. Yang
  • Patent number: 6770188
    Abstract: A structural body material layer is formed directly on a base substrate or via a sacrificing layer or a peeling layer, a groove is fabricated electrochemically along an outer configuration shape of a part constituting an object at the structural body material layer and thereafter, only the sacrificing layer or the base substrate is selectively removed or the part is mechanically separated from the peeling layer to thereby separate the part and the base substrate and provide the part constituting the object or fabricate a part having a movable portion by partially restricting a portion to be separated.
    Type: Grant
    Filed: January 11, 2002
    Date of Patent: August 3, 2004
    Assignee: Seiko Instruments Inc.
    Inventors: Masayuki Suda, Naoya Watanabe, Kazuyoshi Furuta
  • Publication number: 20040110098
    Abstract: A method of improving the ability of plastic layers of a multi-layered optical disc to bond to adhesive materials includes exposing the plastic layers to a plurality of ions. In one embodiment, one side of a plastic layer of a multi-layered optical disc is exposed to a plurality of non-reactive ions, an adhesive material is applied to the side of the plastic layer that was exposed to the plurality of ions, and a second layer of the optical disc is secured to the adhesive material. In another embodiment, a plurality of ions is formed by directing gas molecules through an electric field, and at least 25% of the width of the plastic layer of the multi-layered optical disc is exposed to the plurality of ions at about the same time. The plastic layer is positioned so that the ions contact the plastic layer while the ions still have a charge, and the disc is moved so that at least 25% of the surface of the plastic layer is exposed to the plurality of ions.
    Type: Application
    Filed: November 22, 2002
    Publication date: June 10, 2004
    Applicant: NATIONAL FILM LABORATORIES, INC. dba CREST NATIONAL
    Inventors: Barry Alexander Singer, Robert I. Freedman
  • Publication number: 20040062896
    Abstract: The present invention is directed to a photo-sensitive laminate film for use in making an image mask for etching an image on a substrate. In a first preferred embodiment, the photo-sensitive laminate film comprises a support sheet having a first surface and a second surface, a layer of polymer resin composition having photocrosslinkability, the layer of polymer resin composition having a first surface and a second surface, and a fractionally-releasable bonding layer located between the first surface of the support sheet and the second surface of the layer of polymer resin composition. Upon the creation of an image mask from the layer of polymer resin composition, the image mask is capable of being separated from the first surface of the support sheet such that at least a portion of the bonding layer located between the image mask and the first surface of the support sheet is released from the support sheet with the image mask, and the remaining portion of the bonding layer remains with the support sheet.
    Type: Application
    Filed: September 26, 2002
    Publication date: April 1, 2004
    Inventors: Terrence F. Picone, Steven C. Schaffer
  • Patent number: 6698097
    Abstract: A method for manufacturing a tool to form a dynamic pressure bearing in which at least one of the bearing surfaces has a plurality of pressure generating grooves defined by projections and in which lubricating fluid is contained in the grooves to provide lubrication between the bearing surfaces when they rotate relative to one another. A flat bevel is created at one or more of the corners of each of the projections that define the grooves thereby generating a wedge-shaped space that communicates between the grooves and the gap between the bearing surfaces. This wedge-shaped space facilitates passage of lubricating fluid from the groove to the gap when movement, normally rotation, occurs between the two bearing surfaces thereby minimizing wear between the surfaces.
    Type: Grant
    Filed: April 5, 2000
    Date of Patent: March 2, 2004
    Assignee: Sankyo Seiki Mfg. Co., Ltd.
    Inventors: Kazushi Miura, Masato Gomyo
  • Patent number: 6541149
    Abstract: Improved micro fuel cells suitable for portable electrical devices are provided, and processes for forming such cells. In one embodiment of the invention, silicon substrates are used both as the gas delivery structure for the fuel and the oxidant, and as the current collectors. Such use of silicon is advantageous in that it becomes possible both to utilize micromachining and lithographic techniques to form the desired structures, e.g., the gas delivery channels, and also to integrate the fuel cell with silicon-based control circuitry. Advantageously, the silicon substrates comprise both gas delivery tunnels and porous silicon gas diffusion regions formed over the tunnels in the surface of the substrate, i.e., the porous regions over the gas delivery tunnels are integral with the silicon substrate. In another embodiment of the invention, a monolithic structure is employed.
    Type: Grant
    Filed: February 29, 2000
    Date of Patent: April 1, 2003
    Assignee: Lucent Technologies Inc.
    Inventors: Helen Louise Maynard, Jeremy Patrick Meyers
  • Patent number: 6506315
    Abstract: A method of producing indicia on a metallized and/or a holographic film comprising the steps of (a) printing, on an etchable surface of the film, a co-polymeric primer in a predetermined pattern, (b) depositing an activated etching substance on top of at least the unprinted metallized and/or holographic material under conditions sufficient to etch away portions of the film not covered by the primer and thereby forming a crystallized material as the debris of etching, (c) removing the crystallized material formed during etching and also removing any excess etching substance, and (e) drying the etched, printed film. Optionally, a reinforcing film layer may be disposed over the etched surface. The reinforcing layer may be colored in a predetermined pattern, especially a pattern that corresponds to the pattern of printing of the non-etchable copolymer.
    Type: Grant
    Filed: November 23, 2001
    Date of Patent: January 14, 2003
    Assignee: Illinois Tool Works, Inc.
    Inventor: Mario Ferro
  • Patent number: 6473966
    Abstract: A printer head substrate having a silicon substrate on which heat generating elements and partitions are formed and an orifice plate which adhered to the partitions is placed on a stage of a helicon-wave dry etching system. Helicon-wave dry etching is performed while cooling the printer head substrate by allowing a coolant gas to be intervened between the substrate and the stage. This allows multiple orifices of a desired and adequate shape to be simultaneously and quickly bored in the orifice plate even if a thin film sheet having adhesive layers adhered to both sides thereof is used as the orifice plate, thereby improving the working efficiency.
    Type: Grant
    Filed: January 27, 2000
    Date of Patent: November 5, 2002
    Assignee: Casio Computer Co., Ltd.
    Inventors: Ichiro Kohno, Junji Shiota, Hideki Kamada, Satoshi Kanemitsu, Yoshihiro Kawamura
  • Patent number: 6471882
    Abstract: A process for producing a head slider wherein a floating surface is formed on a slider substrate by photolithography. The process for the production of a head slider has a step of forming, on a slider substrate by photolithography, a patterned floating surface having a resist material on its upper surface; a step of sticking an adhesive sheet on the upper surface of the resist material present on the floating surface; and a step of peeling off the adhesive sheet together with the resist material from the floating surface.
    Type: Grant
    Filed: May 19, 2000
    Date of Patent: October 29, 2002
    Assignee: Nitto Denko Corporation
    Inventors: Makoto Namikawa, Haruo Ioka
  • Patent number: 6436220
    Abstract: The present invention is intended to collectively remove unnecessary resist material and side wall protective film after dry etching by side wall protection process, making it possible to simplify the process for the preparation of semiconductors, etc. The process according to the present invention comprises removing unnecessary resist material (3) left behind after dry etching by side wall protection process with a resist pattern (3) present on a semiconductor substrate (2) as a mask and side wall protective film (4) deposited on the side wall (22) of pattern, said process comprising the steps of applying an pressure-sensitive adhesive sheet (1) to said substrate (2), heating the pressure-sensitive adhesive layer (1) under pressure so that the pressure-sensitive adhesive (11) comes in contact with up to the side wall (4) of pattern, and then collectively peeling said pressure-sensitive adhesive sheet (1), said resist material (3) and said side wall protective film (4) off said substrate.
    Type: Grant
    Filed: August 10, 1999
    Date of Patent: August 20, 2002
    Assignee: Nitto Denko Corporation
    Inventors: Eiji Toyoda, Makoto Namikawa, Kouichi Hashimoto, Seiichiro Shirai
  • Patent number: 6387771
    Abstract: A method for forming a valve metal oxide for semiconductor fabrication in accordance with the present invention is disclosed and claimed. The method includes the steps of providing a semiconductor wafer, depositing a valve metal on the wafer, placing the wafer in an electrochemical cell such that a solution including electrolytes interacts with the valve metal to form a metal oxide when a potential difference is provided between the valve metal and the solution and processing the wafer using the metal oxide layer.
    Type: Grant
    Filed: June 8, 1999
    Date of Patent: May 14, 2002
    Assignee: Infineon Technologies AG
    Inventors: Oliver Genz, Alexander Michaelis
  • Patent number: 6387290
    Abstract: A microfilter utilizing the principles of tangential flow to prevent clogging, and sloped channel sides to overcome surface tension effects is provided which has feed inlet and exit connected by a feed flow channel; a barrier channel parallel to the feed flow channel, and a filtrate collection channel parallel to the barrier channel so that liquid can flow from the feed flow channel through the barrier channel which is too small to accommodate the particles, into the filtrate collection channel, and from then through a filtrate flow channel to a filtrate exit. Several picoliters of cell-free plasma are recovered from one drop of blood for analysis.
    Type: Grant
    Filed: July 2, 1999
    Date of Patent: May 14, 2002
    Assignee: University of Washington
    Inventors: James P. Brody, Thor D. Osborn
  • Patent number: 6379573
    Abstract: During the formation of a spherical cavity in a substrate, self-limiting etching behavior of an isotropic etchant can be utilized when a tape is used as an etch mask. Such a self-limiting behavior is due to the presence of gas bubbles (consisted of SiF4 and NO, etch by-products) which close the etch window and limit the mass transport of the etchant to this silicon surface. Because of that, the spherical cavity size depends mostly on the size of the etch-mask opening, and is independent of the etching time. This self-limiting etching behavior precisely controls the dimension and uniformity of the spherical cavity.
    Type: Grant
    Filed: July 13, 1999
    Date of Patent: April 30, 2002
    Assignee: University of Honolulu
    Inventors: Eun Sok Kim, Cheol-Hyun Han