Nongaseous Phase Etching Patents (Class 216/53)
  • Patent number: 11896498
    Abstract: Interbody fusion devices and related methods of manufacture are described herein. An example interbody fusion device can include a plurality of vertebral endplates, and a body extending between the vertebral endplates. The body and the vertebral endplates can define an internal cavity. Additionally, each of the vertebral endplates can include a lattice structure and a frame surrounding the lattice structure, where the lattice structure being configured to distribute load. Each of the vertebral endplates can also include a plurality of micro-apertures having an average size between about 2 to about IO micrometers (?m), and a plurality of macro-apertures having an average size between about 300 to about 800 micrometers (?m).
    Type: Grant
    Filed: January 10, 2022
    Date of Patent: February 13, 2024
    Assignee: MiRus LLC
    Inventors: Wayne Gray, Antonio Terrell, Clint Walker, Kevin R. Strauss, Ryan O'Flaherty, Noah Roth
  • Patent number: 11878299
    Abstract: Imprinted substrates are often used to produce miniaturized devices for use in electrical, optic and biochemical applications. Imprinting techniques, such as nanoimprinting lithography, may leave residues in the surface of substrates that affect bonding and decrease the quality of the produced devices. An imprinted substrate with residue-free region, or regions with a reduced amount of residue for improved bonding quality is introduced. Methods to produce imprinted substrates without residues from the imprinting process are also introduced. Methods include physical exclusion methods, selective etching methods and energy application methods. These methods may produce residue-free regions in the surface of the substrate that can be used to produce higher strength bonding.
    Type: Grant
    Filed: February 5, 2021
    Date of Patent: January 23, 2024
    Assignee: Illumina, Inc.
    Inventors: Hui Han, Dajun Yuan, M. Shane Bowen
  • Patent number: 11610801
    Abstract: Novel polyketanil-based compositions for use as a laser-releasable composition for temporary bonding and laser debonding processes are provided. The inventive compositions can be debonded using various UV lasers, at wavelengths from about 300 nm to about 360 nm, leaving behind little to no debris. The layers formed from these compositions possess good thermal stabilities and are resistant to common solvents used in semiconductor processing. The compositions can also be used as build-up layers for redistribution layer formation.
    Type: Grant
    Filed: January 20, 2020
    Date of Patent: March 21, 2023
    Assignee: Brewer Science, Inc.
    Inventors: Luke Prenger, Arthur O. Southard, Qi Wu, Xiao Liu
  • Patent number: 11554247
    Abstract: A medical catheter assembly includes a catheter tip coupled to a distal end of an elongate catheter member and is symmetric about a plane defined by a septum of the elongate catheter member. The catheter tip defines first and second lumens, and the catheter tip defines first and second openings in the distal portion of the catheter tip. Each opening of the catheter tip is defined by a respective side surface of the catheter tip. Each opening is in fluid communication with a respective one of the first and second lumens of the catheter tip and with a respective one of a pair of lumens defined by the elongate catheter member. The distance between upper and lower surfaces of the catheter tip decreases from a distal end of the proximal portion toward a closed distal end of the catheter tip.
    Type: Grant
    Filed: October 30, 2019
    Date of Patent: January 17, 2023
    Assignee: COVIDIEN LP
    Inventors: Marc Bellisario, David Thomashey
  • Patent number: 11124675
    Abstract: A substrate polishing method includes a stock polishing step comprising a plurality of stock polishing sub-steps in which a first polishing solution, a second polishing solution, and a third polishing solution are applied, in that order, to a substrate. A content COMP1 of water-soluble polymer P1 in the first polishing solution, a content COMP2 of water-soluble polymer P2 in the second polishing solution, and a content COMP3 of water-soluble polymer P3 in the third polishing solution satisfy COMP1<COMP2<COMP3, and any one of the following conditions is satisfied: (1) average primary particle diameter DA3 of abrasive A3 in the third polishing solution is smaller than average primary particle diameter DA1 of abrasive A1 in the first polishing solution and average primary particle diameter DA2 of abrasive A2 in the second polishing solution; and (2) the third polishing solution does not contain abrasive A3.
    Type: Grant
    Filed: July 18, 2018
    Date of Patent: September 21, 2021
    Assignee: FUJIMI INCORPORATED
    Inventor: Makoto Tabata
  • Patent number: 10874841
    Abstract: A marker delivery device comprises a marker deployer cannula, a push rod, a biopsy site marker, and a ramped tip. The marker deployer cannula may have a marker exit in communication with an interior lumen of the cannula. The marker exit may comprise a distal end with a ramped surface. The biopsy site marker may be configured with a plurality of edges. The plurality of edges may be configured to engage at least a portion of the interior lumen of the cannula. The ramped tip may comprise a first ramped surface and a second ramped surface, the second ramped surface may align with the ramped surface of the distal end of the marker exit. The push rod may be used to push the biopsy site marker up the ramped tip and through the marker exit.
    Type: Grant
    Filed: March 12, 2014
    Date of Patent: December 29, 2020
    Assignee: Devicor Medical Products, Inc.
    Inventors: Michael E. Johnson, Timothy Zimmer, Alberto Cambero Rangel
  • Patent number: 10711160
    Abstract: A slurry composition for polishing a metal layer and a method for fabricating a semiconductor device using the same are provided. The slurry composition for polishing a metal layer includes polishing particles including a metal oxide, an oxidizer including hydrogen peroxide, and a first polishing regulator including at least one selected from a group consisting of phosphate, phosphite, hypophosphite, and metaphosphate, wherein a content of the oxidizer is 0.01 wt % to 0.09 wt % with respect to 100 wt % of the slurry composition for polishing the metal layer.
    Type: Grant
    Filed: January 30, 2018
    Date of Patent: July 14, 2020
    Assignees: SAMSUNG ELECTRONICS CO., LTD., KCTECH Co., Ltd.
    Inventors: Seung Ho Park, Hyun Goo Kong, Jung Hun Kim, Sang Mi Lee, Woo In Lee, Hee Sook Cheon, Sang Kyun Kim, Hao Cui, Jong Hyuk Park, Il Young Yoon
  • Patent number: 10544332
    Abstract: A slurry composition for use in chemical-mechanical polishing sapphire substrates and includes an alkaline pH adjuster and an accelerant.
    Type: Grant
    Filed: July 18, 2016
    Date of Patent: January 28, 2020
    Assignee: Ferro Corporation
    Inventors: Mohammed H. Megherhi, Nathaniel D. Urban, Yie Shein Her
  • Patent number: 10385236
    Abstract: A chemical mechanical polishing (CMP) composition (Q) for chemical mechanical polishing of a substrate (S) containing (i) cobalt and/or (ii) a cobalt alloy, wherein the CMP composition (Q) contains: (A) Inorganic particles, (B) a substituted aromatic compound with at least one carboxylic acid function as corrosion inhibitor, (C) at least one amino acid, (D) at least one oxidizer, (E) an aqueous medium, wherein the CMP composition (Q) has a pH of from 7 to 10.
    Type: Grant
    Filed: December 22, 2015
    Date of Patent: August 20, 2019
    Assignee: BASF SE
    Inventors: Robert Reichardt, Max Siebert, Yongqing Lan, Michael Lauter, Sheik Ansar Usman Ibrahim, Reza Golzarian, Haci Osman Guevenc, Julian Proelss, Leonardus Leunissen
  • Patent number: 10359738
    Abstract: Process for manufacturing a hybrid timepiece component, comprising structuring at least one wafer (14) of a first micromachinable material so as to form at least one through-opening (15) within the wafer (14), said structured wafer (14) being intended to form a first part (4) of the hybrid timepiece component; and depositing a metal by electroforming, so that the metal extends through the through-opening (15) and over the two upper and lower faces of the wafer (14) as a single piece resulting from one and the same electroforming step, the electroformed metal being intended to form a second part (8) of the hybrid timepiece component.
    Type: Grant
    Filed: January 27, 2017
    Date of Patent: July 23, 2019
    Assignee: ROLEX SA
    Inventors: Richard Bossart, Florian Calame
  • Patent number: 10163645
    Abstract: There are provided a processing method for a wide-bandgap semiconductor substrate and an apparatus therefor that use no abrasives or no abrasive grains, or no solution having a large environmental burden at all, can process a single crystal, which is SiC, GaN, AlGaN, or AlN, at a variety of processing speed, can obtain a surface of higher quality than the quality of a surface finished by CMP, and also have an excellent compatibility with a clean room. A catalytic substance having a function of promoting the direct hydrolysis of a work piece (5) or promoting the hydrolysis of an oxide film on the surface of the work piece is used as a processing reference plane (3). In the presence of water (1), the work piece is brought into contact with or extremely close to the processing reference plane at a predetermined pressure.
    Type: Grant
    Filed: March 11, 2015
    Date of Patent: December 25, 2018
    Assignees: OSAKA UNIVERSITY, TOHO ENGINEERING CO., LTD.
    Inventors: Kazuto Yamauchi, Ai Isohashi, Yasuhisa Sano
  • Patent number: 9976486
    Abstract: A method for producing a device having at least one internal feature includes manufacturing an internal volume of the internal features out of a first material, disposing the internal volume in a parent material that has a higher melting point than the first material, causing the internal volume to melt within the parent material, and allowing at least a portion of the first material to diffuse into the parent material, thereby leaving behind the at least one internal feature within the parent material.
    Type: Grant
    Filed: June 25, 2015
    Date of Patent: May 22, 2018
    Assignee: Delavan Inc.
    Inventors: Sergey Mironets, Matthew Donovan
  • Patent number: 9850403
    Abstract: The invention provides a chemical-mechanical polishing composition comprising (a) abrasive particles, (b) a cobalt accelerator selected from a compound having the formula: NR1R2R3 wherein R1, R2, and R3 are independently selected from hydrogen, carboxyalkyl, substituted carboxyalkyl, hydroxyalkyl, substituted hydroxyalkyl and aminocarbonylalkyl, wherein none or one of R1, R2, and R3 are hydrogen; dicarboxyheterocycles; heterocyclylalkyl-?-amino acids; N-(amidoalkyl)amino acids; unsubstituted heterocycles; alkyl-substituted heterocycles; substituted-alkyl-substituted heterocycles; N-aminoalkyl-?-amino acids; and combinations thereof, (c) a cobalt corrosion inhibitor, (d) an oxidizing agent that oxidizes a metal, and (e) water, wherein the polishing composition has a pH of about 3 to about 8.5. The invention further provides a method of chemically-mechanically polishing a substrate with the inventive chemical-mechanical polishing composition. Typically, the substrate contains cobalt.
    Type: Grant
    Filed: May 24, 2017
    Date of Patent: December 26, 2017
    Assignee: Cabot Microelectronics Corporation
    Inventors: Steven Kraft, Andrew Wolff, Phillip W. Carter, Kristin Hayes, Benjamin Petro
  • Patent number: 9725621
    Abstract: CMP processes, tools and slurries utilize composite particles that include core particles having organosilica particles disposed about the core particles. Using these processes, tools and slurries can enhance removal rates, reduce defectivity and increase cleanability with respect to comparable systems and substrates.
    Type: Grant
    Filed: April 30, 2014
    Date of Patent: August 8, 2017
    Assignee: Cabot Corporation
    Inventors: Qingling Zhang, Bennett Greenwood, Ravi Sharma, Geoffrey D. Moeser, Brian G. Prevo, Mark J. Hampden-Smith
  • Patent number: 9688885
    Abstract: The invention provides a chemical-mechanical polishing composition comprising (a) abrasive particles, (b) a cobalt accelerator selected from a compound having the formula: NR1R2R3 wherein R1, R2, and R3 are independently selected from hydrogen, carboxyalkyl, substituted carboxyalkyl, hydroxyalkyl, substituted hydroxyalkyl and aminocarbonylalkyl, wherein none or one of R1, R2, and R3 are hydrogen; dicarboxyheterocycles; heterocyclylalkyl-?-amino acids; N-(amidoalkyl)amino acids; unsubstituted heterocycles; alkyl-substituted heterocycles; substituted-alkyl-substituted heterocycles; N-aminoalkyl-?-amino acids; and combinations thereof, (c) a cobalt corrosion inhibitor, (d) an oxidizing agent that oxidizes a metal, and (e) water, wherein the polishing composition has a pH of about 3 to about 8.5. The invention further provides a method of chemically-mechanically polishing a substrate with the inventive chemical-mechanical polishing composition. Typically, the substrate contains cobalt.
    Type: Grant
    Filed: October 21, 2015
    Date of Patent: June 27, 2017
    Assignee: Cabot Microelectronics Corporation
    Inventors: Steven Kraft, Andrew Wolff, Phillip W. Carter, Kristin Hayes, Benjamin Petro
  • Patent number: 9567491
    Abstract: A chemical-mechanical polishing composition includes colloidal silica abrasive particles having a chemical compound incorporated therein. The chemical compound may include a nitrogen-containing compound such as an aminosilane or a phosphorus-containing compound. Methods for employing such compositions include applying the composition to a semiconductor substrate to remove at least a portion of a layer.
    Type: Grant
    Filed: June 25, 2015
    Date of Patent: February 14, 2017
    Assignee: Cabot Microelectronics Corporation
    Inventors: Lin Fu, Steven Grumbine, Jeffrey Dysard, Tina Li
  • Patent number: 9566686
    Abstract: A chemical mechanical polishing composition for polishing a substrate having a tungsten layer includes a water based liquid carrier, a colloidal silica abrasive dispersed in the liquid carrier and having a permanent positive charge of at least 6 mV, an amine compound in solution in the liquid carrier, and an iron containing accelerator. A method for chemical mechanical polishing a substrate including a tungsten layer includes contacting the substrate with the above described polishing composition, moving the polishing composition relative to the substrate, and abrading the substrate to remove a portion of the tungsten from the substrate and thereby polish the substrate.
    Type: Grant
    Filed: December 10, 2015
    Date of Patent: February 14, 2017
    Assignee: Cabot Microelectronics Corporation
    Inventors: Steven Grumbine, Jeffrey Dysard, Lin Fu, William Ward, Glenn Whitener
  • Patent number: 9567490
    Abstract: A polishing slurry for tungsten and a substrate polishing method are disclosed. The polishing slurry includes an abrasive for performing polishing and having positive zeta potential, and a potential modulator for promoting the oxidation of the tungsten and for controlling the zeta potential of the abrasive.
    Type: Grant
    Filed: October 20, 2014
    Date of Patent: February 14, 2017
    Assignee: UBMATERIALS INC.
    Inventor: Seung Won Jung
  • Patent number: 9427548
    Abstract: A method of treating a hole formed in a catheter includes providing the catheter with an opening formed in a wall of the catheter from an external surface of the catheter to an internal surface of a lumen of the catheter such that the catheter has a sharp external edge formed between the external surface and the opening and a sharp internal edge formed between the opening and the internal surface of the lumen of the catheter. The method additionally includes pushing a proximal end of a mandrel into the opening formed in the wall of the catheter and rounding the sharp external edge of the opening formed in the wall of the catheter with a distal end of the mandrel. The method further includes maintaining the sharp internal edge formed between the opening and the internal surface of the catheter.
    Type: Grant
    Filed: June 3, 2013
    Date of Patent: August 30, 2016
    Assignee: Coloplast A/S
    Inventor: Egon Triel
  • Patent number: 9399112
    Abstract: A catheter having a catheter body with a lumen and a distal lumen opening. The catheter's lumen extends through the catheter body along a longitudinal axis of the catheter body. A hole is formed through a wall of a distal portion of the catheter body. A portion of the proximal surface of the hole is inclined at an acute angle with respect to the longitudinal axis of the catheter body. The acute angle of the proximal hole surface opens proximally with respect to the catheter body.
    Type: Grant
    Filed: February 7, 2011
    Date of Patent: July 26, 2016
    Assignee: Becton, Dickinson and Company
    Inventors: Siddarth K. Shevgoor, Bryan Fred Bihlmaier, Austin Jason McKinnon, Chad M. Adams
  • Patent number: 9390938
    Abstract: To provide a means by which polishing rate can further be improved in a polishing composition to be used for an application of polishing an object to be polished containing a metal element or a semimetal element. Oxo acid containing a metal element or a semimetal element, and water are contained in a polishing composition to be used for an application of polishing an object to be polished containing a metal element or a semimetal element.
    Type: Grant
    Filed: February 8, 2016
    Date of Patent: July 12, 2016
    Assignee: FUJIMI INCORPORATED
    Inventor: Yukinobu Yoshizaki
  • Patent number: 9391148
    Abstract: A single crystal SiC substrate capable of forming a good epitaxial thin film thereon to give a high-quality epitaxial substrate is provided. The single crystal SiC substrate has a CMP-treated surface and has 5 or fewer lattice defects measuring 30 nm or more in a direction parallel to the polished surface and 50 nm or more in a direction perpendicular to the polished surface as counted within a depth of 100 nm from the polished surface in a direction perpendicular to the polished surface and a length of 10 ?m in a direction parallel to the polished surface when observed in cross-section using a transmission electron microscope under the 00L reflection or the h-h0 reflection, where L and h are each an integer other than 0.
    Type: Grant
    Filed: April 12, 2013
    Date of Patent: July 12, 2016
    Assignee: MITSUI MINING & SMELTING CO., LTD.
    Inventors: Atsushi Koike, Yasunori Tabira, Ryuichi Sato
  • Publication number: 20150144593
    Abstract: An apparatus and method for polishing and strengthening a substrate are disclosed. In one aspect, the apparatus includes a table on which a substrate is placed, a powder supply portion for polishing a surface of the substrate, a substance supply portion, and an injector. The powder supply portion is placed over the table. The substance supply portion is configured to supply a substance onto the polished surface of the substrate. The injector is configured to inject the powder from the powder supply portion onto the surface of the substrate and the substance from the substance supply portion onto the polished surface of the substrate.
    Type: Application
    Filed: June 11, 2014
    Publication date: May 28, 2015
    Inventors: Joo Woan Cho, Seungho Kim
  • Publication number: 20150132208
    Abstract: A polishing liquid comprising an abrasive grain, an additive, and water, wherein the abrasive grain includes a hydroxide of a tetravalent metal element, produces absorbance of 1.00 or more for light having a wavelength of 400 nm in an aqueous dispersion having a content of the abrasive grain adjusted to 1.0 mass %, and produces light transmittance of 50%/cm or more for light having a wavelength of 500 nm in an aqueous dispersion having a content of the abrasive grain adjusted to 1.0 mass %, and a difference between a NO3? concentration of an aqueous dispersion having a content of the abrasive grain adjusted to 1.0 mass % and a NO3? concentration after retaining the aqueous dispersion at 60° C. for 72 hours is 200 ppm or less.
    Type: Application
    Filed: March 26, 2013
    Publication date: May 14, 2015
    Applicant: Hitachi Chemical Company, Ltd.
    Inventors: Tomohiro Iwano, Hisataka Minami, Toshiaki Akutsu, Koji Fujisaki
  • Publication number: 20150114929
    Abstract: The invention provides a polishing composition that contains (a) ?-alumina particles that have an average particle size of about 250 nm to about 300 nm, (b) a per-type oxidizing agent, (c) a complexing agent, wherein the complexing agent is an amino acid or an organic acid, and (d) water. The invention also provides a method of polishing a substrate, especially a nickel-phosphorous substrate, with the polishing composition.
    Type: Application
    Filed: October 16, 2014
    Publication date: April 30, 2015
    Inventors: Hon Wu LAU, Selvaraj Palanisamy Chinnathambi, Ke Zhang
  • Publication number: 20150114928
    Abstract: An abrasive composition for polishing substrates including a plurality of abrasive particles having a poly-dispersed particle size distribution with median particle size, by volume, being about 20 nanometers to about 100 nanometers; a span value, by volume, being greater than or equal to about 15 nanometers, wherein the fraction of particles greater than about 100 nanometers is less than or equal to about 20% by volume of the abrasive particles.
    Type: Application
    Filed: October 30, 2013
    Publication date: April 30, 2015
    Inventors: Jia-Ni Chu, James Neil Pryor
  • Patent number: 9018100
    Abstract: Damascene processes using physical vapor deposition (PVD) sputter carbon film as a chemical mechanical planarization (CMP) stop layer for forming a magnetic recording head are provided. In one embodiment, one such process includes providing an insulator, removing a portion of the insulator to form a trench within the insulator, depositing a carbon material on first portions of the insulator using a physical vapor deposition process, disposing at least one ferromagnetic material on second portions of the insulator to form a pole including a portion of the ferromagnetic material within the trench, and performing a chemical mechanical planarization on the at least one ferromagnetic material using at least a portion of the carbon material as a stop for the chemical mechanical planarization.
    Type: Grant
    Filed: November 10, 2010
    Date of Patent: April 28, 2015
    Assignee: Western Digital (Fremont), LLC
    Inventors: Yanfeng Chen, Yunjun Tang, Yana Qian, Ming M. Yang, Yunfei Li, Paul E. Anderson
  • Publication number: 20150102010
    Abstract: Disclosed are a chemical-mechanical polishing composition and a method of polishing a substrate. The polishing composition comprises wet-process ceria abrasive particles, (e.g., about 120 nm or less), at least one alcohol amine, at least one surfactant having at least one hydrophilic moiety and at least one hydrophobic moiety, the surfactant having a molecular weight of about 1000, and water, wherein the polishing composition has a pH of about 6. The polishing composition can be used, e.g., to polish any suitable substrate, such as a polysilicon wafer used in the semiconductor industry.
    Type: Application
    Filed: October 10, 2013
    Publication date: April 16, 2015
    Inventor: Brian REISS
  • Publication number: 20150090689
    Abstract: Described herein are coating compositions for protecting one-glass solution (OGS) glasses and other display glasses during processing. The coatings are non-reactive to typical indium-tin oxide touch components, metal electrodes, and black matrix inks, and can thus be used to over-coat these materials. In one aspect, the coating compositions described herein can be applied by a screen printing application process in a single layer or in multiple layers and are compatible with CNC edge grinding and acid etching. Further, the protective coatings are rigid, but not brittle, and are durable but still able to be processed rapidly. Additionally, the protective coatings are transparent, allowing alignment marks on the substrates to be visible. Finally, the protective coatings can easily be removed after substrate processing has been completed.
    Type: Application
    Filed: September 23, 2014
    Publication date: April 2, 2015
    Inventors: Diane Kimberlie Guilfoyle, Hsien Li Lu, Timothy Edward Myers, Lu Zhang
  • Publication number: 20150086943
    Abstract: Two-part implant for attachment of artificial teeth comprising a base body having a bone contact surface and a soft tissue contact surface. The soft tissue contact surface is at least partially hydroxylated or silanated which results in an improved soft tissue integration.
    Type: Application
    Filed: September 2, 2014
    Publication date: March 26, 2015
    Applicant: STRAUMANN HOLDING AG
    Inventors: Frank Schwarz, Jurgen Becker, Marco Wieland, Michel Dard
  • Patent number: 8980121
    Abstract: The present invention provides an etching liquid for a multilayer thin film containing a copper layer and a titanium layer, and a method of using it for etching a multilayer thin film containing a copper layer and a titanium layer, that is, an etching liquid for a multilayer thin film containing a copper layer and a titanium layer, which comprises (A) hydrogen peroxide, (B) nitric acid, (C) a fluoride ion source, (D) an azole, (E) a quaternary ammonium hydroxide and (F) a hydrogen peroxide stabilizer and has a pH of from 1.5 to 2.5, and a etching method of using it.
    Type: Grant
    Filed: January 28, 2011
    Date of Patent: March 17, 2015
    Assignees: Mitsubishi Gas Chemical Company, Inc., Sharp Kabushiki Kaisha
    Inventors: Tomoyuki Adaniya, Satoshi Okabe, Toshiyuki Gotou, Taketo Maruyama, Kazuki Kobayashi, Keiichi Tanaka, Wataru Nakamura, Kenichi Kitoh, Tetsunori Tanaka
  • Publication number: 20150069016
    Abstract: There is provided a polishing composition capable of suppressing formation of a stepped portion caused by etching of a surface of a polishing object including a portion containing a group IV material when the polishing object is polished. The present invention relates to a polishing composition for polishing of a polishing object including a portion that contains a group IV material, and the polishing composition contains an oxidizing agent and an anticorrosive agent. Preferably, the anticorrosive agent includes at least one selected from the group consisting of compounds in which two or more carbonyl groups contained in a molecule are bonded through a carbon atom in the molecule. To be more specific, preferably, the anticorrosive agent includes at least one selected from the group consisting of a 1,3-diketone compound, a 1,4-diketone compound, and a triketone compound.
    Type: Application
    Filed: March 11, 2013
    Publication date: March 12, 2015
    Inventors: Shuichi Tamada, Satoru Yarita
  • Patent number: 8974680
    Abstract: A pattern forming method includes forming a coating film containing a hydrophilic first homopolymer having a first bonding group and a hydrophobic second homopolymer having a second bonding group capable of bonding with the first bonding group, forming a bond between the first and second bonding group to produce a block copolymer of the first and second homopolymers, and heating the coating film to microphase-separating the copolymer into a hydrophilic domain and a hydrophobic domain. The hydrophilic and hydrophobic domains are arranged alternately. The bond is broken, then selectively dissolving-removing either domain by a solvent to provide a polymer pattern of a remainder domain.
    Type: Grant
    Filed: March 21, 2012
    Date of Patent: March 10, 2015
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hiroki Tanaka, Ryosuke Yamamoto, Naoko Kihara
  • Publication number: 20150065597
    Abstract: Disclosed is a method for producing a porous polymer film. This method includes the steps of: (I) irradiating a polymer film with an ion beam of accelerated ions so as to form a polymer film that has collided with the ions in the beam; and (II) chemically etching the polymer film formed in the step (I) so as to form openings and/or through holes corresponding to tracks of the colliding ions left in the polymer film. In the step (I), the polymer film is placed in an atmosphere with a pressure of 100 Pa or more, and the polymer film placed in the atmosphere is irradiated with the ion beam that has passed through a beam line maintained at a lower pressure than the pressure of the atmosphere and through a pressure barrier sheet disposed at an end of the beam line to separate the beam line from the atmosphere.
    Type: Application
    Filed: March 27, 2013
    Publication date: March 5, 2015
    Inventors: Satoru Furuyama, Junichi Moriyama, Yozo Nagai, Yosuke Yuri, Ikuo Ishibori, Takahiro Yuyama, Tomohisa Ishizaka, Susumu Okumura, Yasunari Maekawa, Hiroshi Koshikawa, Tetsuya Yamaki, Masaharu Asano
  • Publication number: 20150060403
    Abstract: A method for manufacturing a fuel contacting component that facilitates reducing coke formation on at least one surface of the fuel contacting component is disclosed herein. The method includes applying a slurry composition including a powder including aluminum to the component surface, wherein the fuel contacting component is formed by an additive manufacturing process. The slurry composition is heat treated to diffuse the aluminum into the component surface. The heat treatment comprises forming a diffusion aluminide coating on the component surface, wherein the diffusion coating comprises a diffusion sublayer formed on the component surface and an additive sublayer formed on the diffusion sublayer. The method further comprises removing the additive sublayer of the diffusion aluminide coating with at least one aqueous solution such that the diffusion sublayer and the component surface are substantially unaffected, wherein the diffusion layer facilitates preventing coke formation on component surface.
    Type: Application
    Filed: September 5, 2013
    Publication date: March 5, 2015
    Applicant: General Electric Company
    Inventors: William Thomas Carter, James Anthony Ruud, Lawrence Bernard Kool, Justin John Gambone, Jr., Christine Mary Furstoss
  • Patent number: 8969216
    Abstract: A method for single side texturing of a crystalline semiconductor substrate (10) comprises: providing a substrate (10), for example a semiconductor substrate, comprising a first surface (12) and a second surface (14) opposite to one another with respect to the substrate (10); providing a masking layer (21) with a random pattern on the first surface (12) of the substrate (10); and etching the substrate (10) in a polishing solution, thereby texturing the first surface (12) of the substrate (10) and polishing the second surface (14) in a single wet etching step.
    Type: Grant
    Filed: February 11, 2011
    Date of Patent: March 3, 2015
    Assignees: IMEC, Katholieke Universiteit Leuven, K.U. Leuven R&D
    Inventors: Victor Prajapati, Joachim John
  • Publication number: 20150056574
    Abstract: A process for providing a topography to the surface of a dental implant, the surface being made of a ceramic material having yttria-stabilized zirconia, the process including: providing a macroscopic roughness to the surface of the dental implant by a mechanical process and/or injection molding technique; and etching at least a part of the roughened surface, wherein etching is carried out using an etching solution having hydrofluoric acid at a temperature of 70° C. at least, such that discrete grains or agglomerates of grains are removed from the yttria-stabilized zirconia, thereby forming recesses and cavities in the roughened surface is disclosed.
    Type: Application
    Filed: September 12, 2014
    Publication date: February 26, 2015
    Inventors: Frank Homann, Phillippe Habersetzer
  • Patent number: 8961807
    Abstract: Disclosed are a polishing composition and method of polishing a substrate. The composition has low-load (e.g., up to about 0.1 wt. %) of abrasive particles. The polishing composition also contains water and at least one anionic surfactant. In some embodiments, the abrasive particles are alpha alumina particles (e.g., coated with organic polymer). The polishing composition can be used, e.g., to polish a substrate of weak strength such as an organic polymer. An agent for oxidizing at least one of silicon and organic polymer is included in the composition in some embodiments.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: February 24, 2015
    Assignee: Cabot Microelectronics Corporation
    Inventors: Lin Fu, Steven Grumbine
  • Patent number: 8932952
    Abstract: Disclosed is a method for polishing a silicon wafer, wherein a surface to be polished of a silicon wafer is rough polished, while supplying a polishing liquid, which is obtained by adding a water-soluble polymer to an aqueous alkaline solution that contains no free abrasive grains, to a polishing cloth. Consequently, the surface to be polished can be polished at high polishing rate and the flatness of the edge portion including roll-off and roll-up can be controlled.
    Type: Grant
    Filed: March 23, 2011
    Date of Patent: January 13, 2015
    Assignee: Sumco Corporation
    Inventors: Shinichi Ogata, Ryuichi Tanimoto, Ichiro Yamasaki, Shunsuke Mikuriya
  • Patent number: 8927069
    Abstract: A method and apparatus for modifying low emissivity (low-E) coated glass, so that windows using the processed glass allow uninterrupted use of RF devices within commercial or residential buildings. Glass processed in the manner described herein will not significantly diminish the energy conserving properties of the low-E coated glass. This method and apparatus disrupts the conductivity of the coating in small regions. In an embodiment, the method and apparatus ablates the low-E coating along narrow contiguous paths, such that electrical conductivity can no longer occur across the paths. The paths may take the form of intersecting curves and/or lines, so that the remaining coating consists of electrically isolated areas. The method and apparatus are applicable both to treating glass panels at the factory as well as treating windows in-situ after installation.
    Type: Grant
    Filed: October 2, 2013
    Date of Patent: January 6, 2015
    Assignee: Eritek, Inc.
    Inventors: Eugenio Estinto, Robert Winsor
  • Patent number: 8920571
    Abstract: The present invention includes methods and materials for cleaning materials, particles, or chemicals from a substrate with a brush or pad. The method comprising: engaging a surface of a rotating wafer with an outer circumferential surface of a rotating cylindrical foam roller, the cylindrical foam roller having a plurality of circumferentially and outwardly extending spaced apart nodules extending from the outer surface, each nodule defining a height extending from the outer surface of the cylindrical foam roller to a substrate engagement surface of the nodule, the substrate engagement surface of one or more of the nodules having a rounded configuration; and positioning the cylindrical foam roller on the substrate such that the one or more nodules are positioned to have only the rounded substrate engagement surface contact the substrate such that no linear surface of the one or more nodules contacts the substrate.
    Type: Grant
    Filed: September 17, 2013
    Date of Patent: December 30, 2014
    Assignee: Entegris, Inc.
    Inventor: Briant Enoch Benson
  • Publication number: 20140360976
    Abstract: A method and apparatus for conditioning a processing surface of a cylindrical roller disposed in a brush box is described. In one embodiment, a method for processing a substrate is described. The method includes transferring a substrate to a tank, positioning the substrate between two cylindrical rollers disposed in the tank, moving each of the two cylindrical rollers into a first position where a processing surface of each of the cylindrical rollers contacts major surfaces of the substrate, processing the substrate by providing relative motion between at least one of the two cylindrical rollers and the substrate, moving each of the two cylindrical rollers to a second position that is spaced apart from the major surfaces of the substrate, the second position including contacting the processing surface with a conditioning device, and transferring the substrate out of the tank while conditioning the processing surface.
    Type: Application
    Filed: August 26, 2014
    Publication date: December 11, 2014
    Inventors: Sen-Hou KO, Lakshmanan KARUPPIAH
  • Publication number: 20140349483
    Abstract: The invention provides a chemical-mechanical polishing composition containing a ceria abrasive and a polymer of formula I: wherein X1 and X2, Y1 and Y2, Z1 and Z2, R1, R2, R3, and R4, and m are as defined herein, and water, wherein the polishing composition has a pH of about 1 to about 4.5. The invention further provides a method of chemically-mechanically polishing a substrate with the inventive chemical-mechanical polishing composition. Typically, the substrate contains silicon oxide, silicon nitride, and/or polysilicon.
    Type: Application
    Filed: May 29, 2014
    Publication date: November 27, 2014
    Inventors: Tina LI, Kevin Dockery, Renhe Jia, Jeffrey Dysard
  • Patent number: 8894868
    Abstract: A method of forming an aperture (e.g., a through via, a blind via, a trench, an alignment feature, etc.) within a substrate includes irradiating a substrate with a laser beam to form a laser-machined feature having a sidewall. The laser-machined feature is then processed to change at least one characteristic (e.g., the sidewall surface roughness, diameter, taper, aspect ratio, cross-sectional profile, etc.) of the laser-machined feature. The laser-machined feature can be processed to form the aperture by performing an isotropic wet-etch process employing an etchant solution containing HNO3, HF and, optionally acetic acid.
    Type: Grant
    Filed: October 6, 2011
    Date of Patent: November 25, 2014
    Assignee: Electro Scientific Industries, Inc.
    Inventors: Andy Hooper, Daragh Finn, Tim Webb, Lynn Sheehan, Kenneth Pettigrew, Yu Chong Tai
  • Patent number: 8883020
    Abstract: Greater planarity is achieved between surfaces of a conductive structure and a layer within which the conductive structure resides. A portion of the conductive structure protruding above the surface of the layer is selectively oxidized, at least in part, to form an oxidized portion. The oxidized portion is then removed, at least partially, to facilitate achieving greater planarity. The protruding portions may optionally be formed by selectively disposing conductive material over the conductive structure, when that the conductive structure is initially recessed below the surface of the layer. A further embodiment includes selectively oxidizing a portion of the conductive structure below the surface of the layer, removing at least some of the oxidized portion so that an upper surface of the conductive structure is below the upper surface of the layer, and planarizing the upper surface of the layer to the upper surface of the conductive structure.
    Type: Grant
    Filed: January 30, 2013
    Date of Patent: November 11, 2014
    Assignee: Globalfoundries, Inc.
    Inventors: Xunyuan Zhang, Xiuyu Cai
  • Publication number: 20140326701
    Abstract: Provided is a process for the manufacture of semiconductor devices comprising the chemical mechanical polishing of borophosphosilicate glass (BPSG) material in the presence of a chemical mechanical polishing (CMP) composition which comprises: (A) inorganic particles, organic particles, or a mixture or composite thereof, (B) at least one type of anionic phosphate or phosphonate as dispersing agent or charge reversal agent, (C) at least one type of surfactant, and (D) an aqueous medium.
    Type: Application
    Filed: December 21, 2011
    Publication date: November 6, 2014
    Applicant: BASF SE
    Inventors: Shyam Sundar Venkataraman, Eason Yu-Shen Su
  • Patent number: 8871103
    Abstract: A blanket stop layer is conformally formed on a layer with a large step height. A first chemical mechanical polishing process is performed to remove the blanket stop layer atop the layer in the raised region. A second chemical mechanical polishing process is performed to planarize the wafer using the blanket stop layer as a stop layer when the layer is lower than or at a same level as the blanket stop layer or using the layer as a stop layer when the blanket stop layer is lower than or at a same level as the layer, or a selective dry etch is performed to remove the layer in the raised region. Thus, the layer in the raised region can be easily removed without occurrence of dishing in the non-raised region which is protected by the blanket stop layer.
    Type: Grant
    Filed: October 9, 2013
    Date of Patent: October 28, 2014
    Assignee: Nanya Technology Corp.
    Inventors: Brett Busch, Gowri Damarla, Anurag Jindal, Chia-Yen Ho, Thy Tran
  • Patent number: 8846533
    Abstract: A cleaning solution of the present invention contains a sodium ion, a potassium ion, an iron ion, an ammonium salt of a sulfuric ester represented by General Formula (1), and water, and each content of the sodium ion, the potassium ion, and the iron ion is 1 ppb to 500 ppb. ROSO3—(X)+ (1) where R is an alkyl group with a carbon number of 8-22 or an alkenyl group with a carbon number of 8-22, and (X)+ is an ammonium ion.
    Type: Grant
    Filed: May 18, 2009
    Date of Patent: September 30, 2014
    Assignee: Kao Corporation
    Inventor: Youichi Ishibashi
  • Patent number: 8845909
    Abstract: A process of fabricating a heat dissipation substrate is provided. A metal substrate having an upper surface, a lower surface, first recesses located on the upper surface and second recesses located on the lower surface is provided. The metal substrate is divided into carrier units and connecting units connecting the carrier units. A first and a second insulating materials are respectively filled into the first and the recesses. A first conductive layer is formed on the upper surface and the first insulating material. A second conductive layer is formed on the lower surface and the second insulating material. The first and the second conductive layers are patterned to form a first and a second patterned conductive layers. The first and the second insulating materials are taken as an etching mask to etch the connecting units of the metal substrate so as to form a plurality of individual heat dissipation substrates.
    Type: Grant
    Filed: May 30, 2013
    Date of Patent: September 30, 2014
    Assignee: Subtron Technology Co., Ltd.
    Inventor: Tzu-Shih Shen
  • Publication number: 20140263176
    Abstract: An indication that an electrostatic chuck has a gas leakage rate that exceeds a leakage threshold is received. A determination is made as to whether a first polishing procedure that polishes surface features on a surface of the electrostatic chuck without removing the surface features would reduce a thickness of the surface features to below a thickness threshold. The electrostatic chuck is polished using the first polishing procedure responsive to determining that the first polishing procedure would not reduce the thickness of the surface features to below the thickness threshold. Responsive to determining that the first polishing procedure would reduce the thickness of the surface features to below the thickness threshold, a second polishing procedure that removes the surface features from the surface of the electrostatic chuck is performed. After the second polishing procedure, new surface features are formed on the surface of the electrostatic chuck.
    Type: Application
    Filed: March 13, 2014
    Publication date: September 18, 2014
    Applicant: Applied Materials, Inc.
    Inventors: Vijay D. Parkhe, Kadthala Ramaya Narendrnath