With Measuring, Testing, Or Inspecting Patents (Class 216/59)
  • Patent number: 11158488
    Abstract: A radio frequency (RF) generator system includes first and second RF power sources, each RF power source applying a respective RF signal and second RF signal to a load. The first RF signal is applied in accordance with the application of the second RF signal. The application of the first RF signal is synchronized to application of the second RF signal. The first RF signal may be amplitude modulated in synchronization with the second RF signal, and the amplitude modulation can include blanking of the first RF signal. A frequency offset may be applied to the first RF signal in synchronization with the second RF signal. A variable actuator associated with the first RF power source may be controlled in accordance with the second RF signal.
    Type: Grant
    Filed: June 26, 2019
    Date of Patent: October 26, 2021
    Assignees: MKS Instruments, Inc., Lam Research Corporation
    Inventors: Aaron T. Radomski, Benjamin J. Gitlin, Larry J. Fisk, II, Mariusz Oldziej, Aaron M. Burry, Jonathan W. Smyka, Alexei Marakhtanov, Bing Ji, Felix Leib Kozakevich, John Holland, Ranadeep Bhowmick
  • Patent number: 11114350
    Abstract: Methods and apparatus for removing a photoresist layer from a photomask substrate are provided. In one example, a method for removing a photoresist layer from a substrate in a chamber includes generating a first plasma including first radicals from a first gas mixture in a processing chamber, exposing a portion of a photoresist layer on a substrate to the first radicals to remove the portion of the photoresist layer from the substrate, generating a second plasma including second radicals from a second gas mixture, wherein the second radicals have a different composition than the first radicals, and exposing another portion of photoresist layer to the second radicals to remove the second portion of the photoresist layer.
    Type: Grant
    Filed: June 26, 2019
    Date of Patent: September 7, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Banqiu Wu, Khalid Makhamreh, Eli Dagan
  • Patent number: 11067391
    Abstract: Provided is a charged particle beam device which includes a storage unit that stores relationship information indicating a relationship between intensity or an intensity ratio of a charged particle signal obtained when a layer disposed on the sample is irradiated with the charged particle beam and a thickness of the layer; and a calculation unit that calculates the thickness of the layer as a thickness of the sample by using the relationship information and the intensity or the intensity ratio of the charged particle signal.
    Type: Grant
    Filed: June 13, 2017
    Date of Patent: July 20, 2021
    Assignee: Hitachi High-Tech Corporation
    Inventors: Takahiro Sato, Tsunenori Nomaguchi
  • Patent number: 11004658
    Abstract: In a plasma processing apparatus including a first radio-frequency power supply which supplies first radio-frequency power for generating plasma in a vacuum chamber, a second radio-frequency power supply which supplies second radio-frequency power to a sample stage on which a sample is mounted, and a matching box for the second radio-frequency power supply, the matching box samples information for performing matching during a sampling effective period which is from a point of time after elapse of a prescribed time from a beginning of on-state of the time-modulated second radio-frequency power until an end of the on-state and maintains a matching state attained during the sampling effective period from after the end of the on-state until a next sampling effective period.
    Type: Grant
    Filed: July 31, 2018
    Date of Patent: May 11, 2021
    Assignee: HITACHI HIGH-TECH CORPORATION
    Inventors: Michikazu Morimoto, Naoki Yasui, Yasuo Ohgoshi
  • Patent number: 10998174
    Abstract: A dry etching equipment includes a topography simulator and a control section. The topography simulator controls an amount of deposition species incident upon a sidewall to be processed in accordance with a wafer opening ratio and a solid angle of a local pattern, the deposition amount being represented by a product of a reaction product flux and the solid angle. The control section compares a database obtained by the topography simulator with an actual measured value detected from an etching condition during dry etching to calculate a correction value for etching process, and indicates the correction value to an etching chamber in the dry etching equipment. The dry etching equipment corrects in real time a parameter for the etching process conducted in the etching chamber.
    Type: Grant
    Filed: December 10, 2008
    Date of Patent: May 4, 2021
    Assignee: Sony Semiconductor Solutions Corporation
    Inventors: Nobuyuki Kuboi, Tetsuya Tatsumi
  • Patent number: 10955756
    Abstract: Methods and apparatuses for measuring a target formed on a substrate. The target includes an alignment structure and a metrology structure. In one method, a first measurement process is performed that includes illuminating the target with first radiation and detecting radiation resulting from scattering of the first radiation from the target. A second measurement process includes illuminating the target with second radiation and detecting radiation resulting from scattering of the second radiation from the target. The first measurement process detects a position of the alignment structure. The second measurement process uses the position of the alignment structure detected by the first measurement process to align a radiation spot of the second radiation onto a desired location within the metrology structure.
    Type: Grant
    Filed: May 3, 2018
    Date of Patent: March 23, 2021
    Assignee: ASML Netherlands B.V.
    Inventor: Hugo Augustinus Joseph Cramer
  • Patent number: 10928806
    Abstract: A device controls a substrate processing apparatus that includes process modules for processing substrates. The device includes a memory that stores a program, and a processor that executes the program stored in the memory to perform a process. The process includes receiving a substrate processing recipe defining substrate processing and multiple conditioning recipes defining conditioning processes, generating a system recipe indicating an execution procedure of the received substrate processing recipe and the received conditioning recipes, and before controlling the substrate processing apparatus to process the substrates according to the system recipe, chronologically displaying an execution order of the substrate processing and the conditioning processes for each of the process modules based on the system recipe.
    Type: Grant
    Filed: March 12, 2018
    Date of Patent: February 23, 2021
    Assignee: Tokyo Electron Limited
    Inventor: Satoko Yamamoto
  • Patent number: 10916411
    Abstract: Embodiments are described herein for sensor-to-sensor matching methods for chamber matching across multiple plasma processing chambers. For disclosed embodiments, a baseline signature in a first processing chamber is compared to a signature generated in a second processing chamber in order to adjust and match sensor display values for the second processing chamber. The baseline signature is determined using a golden reference sensor disposed within the first processing chamber and plasma sensors monitoring a baseline plasma. The signature of the plasma is determined using the golden reference sensor disposed within the second processing chamber and plasma sensors monitoring the plasma. Differences are determined between the baseline signature and the signature, and a display value for the plasma sensors for the second processing chamber is adjusted based on the differences to provide chamber matching with the first processing chamber. The golden reference sensor can be a wafer with embedded sensors.
    Type: Grant
    Filed: August 12, 2019
    Date of Patent: February 9, 2021
    Assignee: Tokyo Electron Limited
    Inventor: Jun Shinagawa
  • Patent number: 10892162
    Abstract: There is provided a method of forming a silicon film, which includes: a film forming step of forming the silicon film on a base, the silicon film having a film thickness thicker than a desired film thickness; and an etching step of reducing the film thickness of the silicon film by supplying an etching gas containing bromine or iodine to the silicon film.
    Type: Grant
    Filed: March 4, 2019
    Date of Patent: January 12, 2021
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Mitsuhiro Okada, Tatsuya Miyahara, Keisuke Fujita
  • Patent number: 10892146
    Abstract: A method of detecting an endpoint of a plasma process applied to a substrate is provided. The method includes: monitoring a time series of intensity of a predetermined wavelength component in an emission spectrum obtained by optical emission spectroscopy; monitoring a time series of intensity of a predetermined component in a mass spectrum obtained by mass spectrometry; calculating a signal used for detecting the endpoint of the plasma process by performing an arithmetic operation using the monitored time series of intensity of the predetermined wavelength component in the emission spectrum and the monitored time series of intensity of the predetermined component in the mass spectrum; and detecting the endpoint of the plasma process based on the signal calculated by the arithmetic operation.
    Type: Grant
    Filed: September 9, 2019
    Date of Patent: January 12, 2021
    Assignee: Tokyo Electron Limited
    Inventors: Hiroki Tsuji, Itaru Tanaka
  • Patent number: 10892145
    Abstract: A substrate processing method includes providing a substrate into a process chamber; introducing a reference light into the process chamber; generating a plasma light in the process chamber while performing an etching process on the substrate; receiving the reference light and the plasma light; and detecting an etching end point by analyzing the plasma light and the reference light. Detecting the etching end point includes a compensation adjustment based on a change rate of an absorption signal of the reference light with respect to a change rate of an emission signal of the plasma light.
    Type: Grant
    Filed: June 19, 2019
    Date of Patent: January 12, 2021
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sejin Oh, Kyohyeok Kim, Jongwoo Sun, Dougyong Sung, Sung-Ki Lee, Jaehyun Lee
  • Patent number: 10882114
    Abstract: An apparatus and a method for producing fine particles includes a vacuum chamber, a material feeding device connected to the vacuum chamber and feeding material particles into the vacuum chamber from material feeing ports, and a plurality of electrodes connected to the vacuum chamber. Tip ends of the electrodes protrude into the vacuum chamber to generate plasma, and a collecting device is connected to the vacuum chamber and collects fine particles. The electrodes generate discharge inside the vacuum chamber and produce the fine particles from the material. The material feeding ports of the material feeding device are arranged in a lower side than (below) the plural electrodes in the vertical direction in the vacuum chamber.
    Type: Grant
    Filed: January 17, 2019
    Date of Patent: January 5, 2021
    Assignee: Panasonic Intellectual Property Management Co., Ltd.
    Inventors: Hisao Nagai, Takafumi Okuma
  • Patent number: 10871658
    Abstract: A method is implemented by a computer for modifying a non-dioptric parameter of an optical system including a first and a second surface. The method includes a modifying step during which the first surface and second surface are modified so as to obtain a modified optical system such that the dioptric function of the modified optical system is substantially the same as the dioptric function of the optical system.
    Type: Grant
    Filed: April 12, 2016
    Date of Patent: December 22, 2020
    Assignee: Essilor International
    Inventors: Pascal Allione, Celine Benoit, Laurent Calixte, Sylvain Chene, Jean Sahler
  • Patent number: 10847430
    Abstract: Methods and systems for using a time-series of spectra to identify endpoint of an etch process. One method includes accessing a virtual carpet that is generated from a time-series of spectra for an etch process. A polynomial with coefficients represents the virtual carpet. The method includes processing a fabrication etch process on a fabrication wafer and generating a carpet defined from a time-series of spectra while processing the fabrication etch process. While the processing the fabrication etch process and generating the carpet, comparing portions of the carpet and the virtual carpet to identify an endpoint metric of the fabrication etch process.
    Type: Grant
    Filed: April 16, 2019
    Date of Patent: November 24, 2020
    Assignee: Lam Research Corporation
    Inventors: Ye Feng, Prashanth Kumar, Andrew D. Bailey, III
  • Patent number: 10847372
    Abstract: Methods for processing of a workpiece are disclosed. The actual rate at which different portions of an ion beam can process a workpiece, referred to as the processing rate profile, is determined by measuring the amount of material removed from, or added to, a workpiece by the ion beam as a function of ion beam position. An initial thickness profile of a workpiece to be processed is determined. Based on the initial thickness profile, a target thickness profile, and the processing rate profile of the ion beam, a first set of processing parameters are determined. The workpiece is then processed using this first set of processing parameters. In some embodiments, an updated thickness profile is determined after the first process and a second set of processing parameters are determined. A second process is performed using the second set of processing parameters. Optimizations to improve throughput are also disclosed.
    Type: Grant
    Filed: September 26, 2018
    Date of Patent: November 24, 2020
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Morgan D. Evans, Kevin Anglin, Ross Bandy
  • Patent number: 10781519
    Abstract: An apparatus for processing a substrate is provided. The apparatus includes a processing apparatus and a controller. The processing apparatus includes a chamber. The controller includes a memory and a processor coupled to the memory. The memory stores computer-executable instructions for controlling the processor to control a process of the processing apparatus. The process includes first forming a first film in a first region of the substrate in the chamber by chemical vapor deposition. The process further includes second forming a second film in a second region of the substrate in the chamber by atomic layer deposition. The first forming and the second forming are performed without moving the substrate out of the chamber.
    Type: Grant
    Filed: June 18, 2018
    Date of Patent: September 22, 2020
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Masahiro Tabata
  • Patent number: 10734242
    Abstract: In a substrate processing method for performing predetermined processing on a substrate, which has a processing target film, accommodated in a processing chamber, as a luminous intensity of a predetermined wavelength in an emission spectrum of a plasma generated from a processing gas in the chamber, a luminous intensity of the predetermined wavelength which starts to change when actual processing of the processing target film is started is measured. Then, a processing time of the predetermined processing performed after a moment when the measured luminous intensity of the predetermined wavelength is changed, is set.
    Type: Grant
    Filed: January 10, 2018
    Date of Patent: August 4, 2020
    Assignee: Tokyo Electron Limited
    Inventors: Kazuaki Nishimura, Jun Lin, Koji Takeya
  • Patent number: 10734246
    Abstract: A chamber door, such as an etch chamber door may be heated during etch processing to, e.g., prevent etching by-products from adhering to the etch chamber door. Such heating of the etch chamber door, however, can impact the processing parameters and result in non-uniform processing, such as non-uniform etching characteristics across a semiconductor wafer, for instance. An insulator, such as an insulating film covering surfaces of the heated door, can reduce or eliminate transmission of heat from the door to a work piece such as a semiconductor wafer and this reduce or eliminate the non-uniformity of the process results.
    Type: Grant
    Filed: November 30, 2018
    Date of Patent: August 4, 2020
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Meng-Je Chuang, Wan-Chun Kuan, Yi-Wei Chiu, Tzu-Chan Weng
  • Patent number: 10712328
    Abstract: An analysis device includes a vapor phase decomposition unit, a heating unit, an evacuation unit, a recovery unit and an analysis unit. The vapor phase decomposition unit performs vapor phase decomposition of a first film on a substrate. The heating unit heats the substrate. The evacuation unit evacuates gas in the heating unit to an outside of the heating unit. The recovery unit supplies liquid on a front surface of the substrate, moves the liquid on the front surface of the substrate, and recovers the liquid. The analysis unit analyzes contents of the liquid.
    Type: Grant
    Filed: March 3, 2017
    Date of Patent: July 14, 2020
    Assignee: TOSHIBA MEMORY CORPORATION
    Inventor: Yuji Yamada
  • Patent number: 10707916
    Abstract: The present disclosure relates to methods and devices for communication systems comprising an electrically conductive line. The disclosure proposes a method in a line estimation device for determining termination characteristics of an electrically conductive line in a communication system. The method comprises outputting a test signal to the line, wherein the test signal is a wideband test signal with a bandwidth arranged to provide an adequate measurement time-resolution. The method further comprises receiving reflections from impedance discontinuities of the line in response to the test signal. The method also comprises forming a trace of the reflections. The method additionally comprises identifying at least one anomaly in the trace of reflections. The method yet further comprises determining termination characteristics of the line based on characteristics of the trace before and after the identified at least one anomaly.
    Type: Grant
    Filed: August 18, 2015
    Date of Patent: July 7, 2020
    Assignee: TELEFONAKTIEBOLAGET LM ERICSSON (PUBL)
    Inventors: Antoni Fertner, Miguel Berg, Daniel Cederholm, Per Ola Börjesson
  • Patent number: 10665457
    Abstract: A method of forming an integrated circuit includes forming a patterned mask layer on a material layer, wherein the patterned mask layer has a plurality of first features, and a first distance between adjacent first features of the plurality of first features. The method further includes patterning the material layer to form the first features in the material layer. The method further includes increasing the first distance between adjacent first features of the plurality of first features to a second distance. The method further includes treating portions of the material layer exposed by the patterned mask layer. The method further includes removing the patterned mask layer; and removing non-treated portions of the material layer.
    Type: Grant
    Filed: March 29, 2018
    Date of Patent: May 26, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Tzu-Yen Hsieh, Ming-Ching Chang, Chun-Hung Lee, Yih-Ann Lin, De-Fang Chen, Chao-Cheng Chen
  • Patent number: 10635072
    Abstract: An imprint apparatus includes a mold holding unit configured to hold a mold, a correction mechanism configured to correct a shape of a pattern formed in the mold to a desired shape by applying a force to the mold held in the mold holding unit, and a controller configured to assume a plurality of mold pattern shapes for a specific mold, calculate a parameter for associating the plurality of assumed mold pattern shapes and a deformation amount of the specific mold by causing the correction mechanism to apply the force to the specific mold to obtain the deformation amount of the specific mold so that the plurality of assumed mold pattern shapes are formed, and calibrate the correction mechanism using the parameter.
    Type: Grant
    Filed: November 17, 2015
    Date of Patent: April 28, 2020
    Assignee: CANON KABUSHIKI KAISHA
    Inventor: Kenichi Kobayashi
  • Patent number: 10636686
    Abstract: A method for monitoring drift in a plasma processing chamber for semiconductor processing is provided. A plurality of cycles is provided, wherein each cycle comprises depositing a deposition layer over a chuck in the plasma processing chamber, plasma etching the deposition layer, and measuring a time for plasma etching the deposition layer to etch through the deposition layer. The measured time for plasma etching is used to determine plasma processing chamber drift.
    Type: Grant
    Filed: February 27, 2018
    Date of Patent: April 28, 2020
    Assignee: Lam Research Corporation
    Inventors: Joseph Abel, Purushottam Kumar, Adrien Lavoie
  • Patent number: 10497652
    Abstract: A semiconductor substrate and a semiconductor device are provided in which the substrate includes a plurality of chips. Each of the chips includes at least one array region and at least one periphery region. The semiconductor substrate has a plurality of trenches disposed in the array region and/or the periphery region, wherein a ratio of the depth of the trenches to the thickness of the semiconductor substrate is between 0.001 and 0.008, and the area of all the trenches is between 5% and 90% based on the total area of the semiconductor substrate.
    Type: Grant
    Filed: July 31, 2018
    Date of Patent: December 3, 2019
    Assignee: MACRONIX International Co., Ltd.
    Inventors: Tuung Luoh, Ling-Wuu Yang, Ta-Hung Yang, Kuang-Chao Chen
  • Patent number: 10490418
    Abstract: In an embodiment, a plasma source includes a first electrode, configured for transfer of one or more plasma source gases through first perforations therein; an insulator, disposed in contact with the first electrode about a periphery of the first electrode; and a second electrode, disposed with a periphery of the second electrode against the insulator such that the first and second electrodes and the insulator define a plasma generation cavity. The second electrode is configured for movement of plasma products from the plasma generation cavity therethrough toward a process chamber. A power supply provides electrical power across the first and second electrodes to ignite a plasma with the one or more plasma source gases in the plasma generation cavity to produce the plasma products. One of the first electrode, the second electrode and the insulator includes a port that provides an optical signal from the plasma.
    Type: Grant
    Filed: April 19, 2018
    Date of Patent: November 26, 2019
    Assignee: Applied Materials, Inc.
    Inventors: Soonam Park, Yufei Zhu, Edwin C. Suarez, Nitin K. Ingle, Dmitry Lubomirsky, Jiayin Huang
  • Patent number: 10347459
    Abstract: An ion beam apparatus includes a source part generating plasma therein, a process part in which a process using an ion beam is performed, and a slit structure provided between the source part and the process part and extracting the ion beam from the plasma. The slit structure includes at least one electrode structure. The electrode structure has a slit penetrating the electrode structure and extending in a first direction. The ion beam is irradiated onto a substrate at an incident angle through the slit. The incident angle of the ion beam is adjusted by rotating the electrode structure on a rotation axis parallel to the first direction.
    Type: Grant
    Filed: July 13, 2017
    Date of Patent: July 9, 2019
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventor: Jongchul Park
  • Patent number: 10335897
    Abstract: A system for producing a proof-mass assembly includes a translation stage to receive a flapper hingedly supported by a bifilar flexure that extends radially inwardly from a support ring, wherein the bifilar flexure comprises a pair of flexure arms spaced apart by an opening or window; and a femtosecond laser optically coupled to the translation stage with focusing optics, the femtosecond laser applying a laser beam on the flexure arms over a plurality of passes to gradually thin the bifilar flexure regions, the laser periodically reducing a laser output to minimize damage from laser scanning and maximize bifilar flexure strength until the bifilar flexure reaches a predetermined thickness.
    Type: Grant
    Filed: July 28, 2016
    Date of Patent: July 2, 2019
    Assignee: Applied Physics, Inc.
    Inventor: William Goodman
  • Patent number: 10296698
    Abstract: Various embodiments include approaches for designing through-silicon vias (TSVs) in integrated circuits (ICs). In some cases, a method includes: identifying types of through-silicon vias (TSVs) for placement within an integrated circuit (IC) design based upon an electrical requirement for the TSVs, wherein the IC design includes distinct types of TSVs; calculating etch and fill rates for the IC design with the distinct types of TSVs with common etching and filling processes; and providing fabrication instructions to form the distinct types of TSVs according to the calculated etch and fill rates in the common processes.
    Type: Grant
    Filed: December 14, 2016
    Date of Patent: May 21, 2019
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Mukta G. Farooq, Troy L. Graves-Abe
  • Patent number: 10262910
    Abstract: Methods and systems for using a time-series of spectra to identify endpoint of an etch process. One method includes accessing a virtual carpet that is formed from a time-series of spectra for the etch process collected during a training operation. And, running a fabrication etch process on a fabrication wafer, such that while the fabrication etch process is performed portions of a carpet defined from a time-series of spectral is generated for the fabrication etch process. Then, comparing the portions of the carpet of the fabrication etch process to the virtual carpet. End pointing is processed for the fabrication etch process when said comparing indicates that a desired metric has been reached for the fabrication wafer. In one example, said portions of the carpet include a current frame of captured spectra and at least one previous frame of captured spectra.
    Type: Grant
    Filed: December 23, 2016
    Date of Patent: April 16, 2019
    Assignee: Lam Research Corporation
    Inventors: Ye Feng, Prashanth Kumar, Andrew D. Bailey, III
  • Patent number: 10243536
    Abstract: In an elastic wave device, a multilayer film including a piezoelectric thin film is provided on a support substrate, an interdigital transducer electrode is provided on one surface of the piezoelectric thin film, a wiring electrode is connected to the interdigital transducer electrode, the wiring electrode includes a lead electrode portion and a pad electrode portion, an external connection terminal is located above the pad electrode portion, the external connection terminal is electrically connected to the pad electrode portion, and the external connection terminal is bonded onto the pad electrode portion on the support substrate so that at least the piezoelectric thin film of the multilayer film is not present below the pad electrode portion.
    Type: Grant
    Filed: June 13, 2016
    Date of Patent: March 26, 2019
    Assignee: MURATA MANUFACTURING CO., LTD.
    Inventors: Shin Saijo, Hisashi Yamazaki, Koji Yamamoto, Seiji Kai, Munehisa Watanabe
  • Patent number: 10226852
    Abstract: A surface planarization system is presented. The system comprises an external energy source for generating a localized energy distribution within a processing region, and a control unit for operating the external energy source to create, by the localized energy distribution, a predetermined temperature pattern within the processing region such that different locations of the processing region are subjected to different temperatures. This provides that when a sample (e.g. semiconductor wafer) during its interaction with an etching material composition is located in the processing region, the temperature pattern at different locations of the sample's surface creates different material removal rates by the etching material composition (different etch rates).
    Type: Grant
    Filed: July 31, 2014
    Date of Patent: March 12, 2019
    Assignee: NOVA MEASURING INSTRUMENTS LTD.
    Inventor: Igor Turovets
  • Patent number: 10157804
    Abstract: The invention relates to a method for determining a critical dimension variation of a photolithographic mask which comprises (a) using layout data of the photolithographic mask to determine at least two sub-areas of the photolithographic mask, each sub-area comprising a group of features, (b) measuring a distribution of a transmission of each sub-area, (c) determining a deviation of the transmission from a mean transmission value for each sub-area, (d) determining a constant specific for each sub-area, and (e) determining the critical dimension variation of the photolithographic mask by combining for each sub-area the deviation of the transmission and the sub-area specific constant.
    Type: Grant
    Filed: July 20, 2012
    Date of Patent: December 18, 2018
    Assignee: Carl Zeiss SMS Ltd.
    Inventor: Rainer Pforr
  • Patent number: 10147618
    Abstract: A time-dependent substrate temperature to be applied during a plasma process is determined. The time-dependent substrate temperature at any given time is determined based on control of a sticking coefficient of a plasma constituent at the given time. A time-dependent temperature differential between an upper plasma boundary and a substrate to be applied during the plasma process is also determined. The time-dependent temperature differential at any given time is determined based on control of a flux of the plasma constituent directed toward the substrate at the given time. The time-dependent substrate temperature and time-dependent temperature differential are stored in a digital format suitable for use by a temperature control device defined and connected to direct temperature control of the upper plasma boundary and the substrate. A system is also provided for implementing upper plasma boundary and substrate temperature control during the plasma process.
    Type: Grant
    Filed: January 11, 2016
    Date of Patent: December 4, 2018
    Assignee: Lam Research Corporation
    Inventor: Rajinder Dhindsa
  • Patent number: 10078266
    Abstract: Processes for removing a photoresist from a substrate after, for instance, ion implantation are provided. In one example implementation, a process can include placing a substrate having a bulk photoresist and a crust formed on the bulk photoresist in a processing chamber. The process can include initiating a first strip process in the processing chamber. The process can include accessing an optical emission signal associated with a plasma during the first strip process. The process can include identifying an endpoint for the first strip process based at least in part on the optical emission signal. The process can include terminating the first strip process based at least in part on the endpoint. The process can include initiating a second strip process to remove the photoresist from the substrate.
    Type: Grant
    Filed: February 24, 2017
    Date of Patent: September 18, 2018
    Assignee: Mattson Technology, Inc.
    Inventors: Wei-Hua Liou, Chun-Yen Kang, Vijay M. Vaniapura, Hai-Au M. Phan-Vu, Shawming Ma
  • Patent number: 10054802
    Abstract: A method and system for generating a three-dimensional model of a contact lens with a front and a back surface, in which the entire back surface consists of an array of independent data points shaped to conform to three-dimensional data provided by an ocular topographer. The sampling density is sufficiently high to characterize anomalies or injuries anywhere in the eye to optimize comfort and fit. The methods and systems also include modeling a scleral lens which rests either solely on the sclera, or straddles the limbus extending partially into the cornea is described. The resting surface conforms to the topology of the underlying ocular surface with topology guiding the design. Additional methods and systems model scleral lens optics without the use of trial lenses. The lens models can be used to machine or 3D print a lens that fits the patient. Such lenses benefit patients that suffer from dry eyes or whose eyes are not normally dry, but feel dry after wearing conventional contact lenses.
    Type: Grant
    Filed: June 27, 2017
    Date of Patent: August 21, 2018
    Assignee: Eydeal Scanning, LLC
    Inventors: Robert P. Bishop, Michael T. Sullivan, Steve Auger
  • Patent number: 10002804
    Abstract: Described is a method for determining an endpoint of an etch process using optical emission spectroscopy (OES) data as an input. Optical emission spectroscopy (OES) data are acquired by a spectrometer attached to a plasma etch processing tool. The acquired time-evolving spectral data are first filtered and demeaned, and thereafter transformed into transformed spectral data, or trends, using multivariate analysis such as principal components analysis, in which previously calculated principal component weights are used to accomplish the transform. A functional form incorporating multiple trends may be used to more precisely determine the endpoint of an etch process. A method for calculating principal component weights prior to actual etching, based on OES data collected from previous etch processing, is disclosed, which method facilitates rapid calculation of trends and functional forms involving multiple trends, for efficient and accurate in-line determination of etch process endpoint.
    Type: Grant
    Filed: February 25, 2016
    Date of Patent: June 19, 2018
    Assignee: Tokyo Electron Limited
    Inventors: Yan Chen, Vi Vuong, Serguei Komarov
  • Patent number: 9978584
    Abstract: A method for treating a substrate, in which a supercritical fluid is supplied into a chamber, in which the substrate is carried, to treat the substrate, the method including a supply step of supplying the supercritical fluid into the chamber until a pressure of the interior of the chamber reaches a preset pressure, and a substrate treating step of performing a supercritical process while repeating supply and exhaust of the supercritical fluid into and out of the interior of the chamber after the supply step, wherein a flow rate of the supercritical fluid supplied into the chamber in the supply step is variable.
    Type: Grant
    Filed: August 30, 2016
    Date of Patent: May 22, 2018
    Assignee: SEMES CO., LTD.
    Inventors: Young Hun Lee, Eui Sang Lim, Min Jun Cho, Jae Myoung Lee
  • Patent number: 9925571
    Abstract: A method of cleaning a substrate processing apparatus including a gas supply part configured to eject a process gas via gas passages formed in the gas supply part, and divided into first and second regions corresponding to first and second in-plane positions of a substrate, respectively, includes cleaning a first one of the gas passages corresponding to the first region with the plasma of the process gas by causing a first flow rate of the process gas supplied to the first region to be lower than a second flow rate of the process gas supplied to the second region and cleaning a second one of the gas passages corresponding to the second region with the plasma by causing a third flow rate of the process gas supplied to the first region to be higher than a fourth flow rate of the process gas supplied to the second region.
    Type: Grant
    Filed: June 27, 2014
    Date of Patent: March 27, 2018
    Assignee: Tokyo Electron Limited
    Inventors: Akihiro Kikuchi, Mitsuhiro Tomura
  • Patent number: 9889597
    Abstract: An imprint lithography apparatus is disclosed that includes a support structure configured to hold an imprint template. The apparatus further includes an actuator located between the support structure and a side of the imprint template, when the imprint template is held by the support structure, configured to apply a force to the imprint template and a force sensor between the support structure and a side of the imprint template, when the imprint template is held by the support structure.
    Type: Grant
    Filed: November 11, 2013
    Date of Patent: February 13, 2018
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Yvonne Wendela Kruijt-Stegeman, Raymond Jacobus Wilhelmus Knaapen, Johan Frederik Dijksman, Sander Frederik Wuister, Ivar Schram, Raymond Wilhelmus Louis Lafarre
  • Patent number: 9716022
    Abstract: A method of determining thermal stability of an upper surface of a substrate support assembly comprises recording time resolved pre-process temperature data of the substrate before performing a plasma processing process while powering an array of thermal control elements to achieve a desired spatial and temporal temperature of the upper surface. A substrate is processed while powering the array of thermal control elements to achieve a desired spatial and temporal temperature of the upper surface of the assembly, and time resolved post-process temperature data of the assembly is recorded after processing the substrate. The post-process temperature data is recorded while powering the thermal control elements to achieve a desired spatial and temporal temperature of the upper surface. The post-process temperature data is compared to the pre-process temperature data to determine whether the data is within a desired tolerance range.
    Type: Grant
    Filed: December 17, 2013
    Date of Patent: July 25, 2017
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Ole Waldmann, Eric A. Pape, Carlos Leal-Verdugo, Keith William Gaff
  • Patent number: 9640371
    Abstract: A system and method of identifying a selected process point in a multi-mode pulsing process includes applying a multi-mode pulsing process to a selected wafer in a plasma process chamber, the multi-mode pulsing process including multiple cycles, each one of the cycles including at least one of multiple, different phases. At least one process output variable is collected for a selected at least one of the phases, during multiple cycles for the selected wafer. An envelope and/or a template of the collected at least one process output variable can be used to identify the selected process point. A first trajectory for the collected process output variable of a previous phase can be compared to a second trajectory of the process output variable of the selected phase. A multivariate analysis statistic of the second trajectory can be calculated and used to identify the selected process point.
    Type: Grant
    Filed: October 24, 2014
    Date of Patent: May 2, 2017
    Assignee: Lam Research Corporation
    Inventors: Yassine Kabouzi, Jorge Luque, Andrew D. Bailey, III, Mehmet Derya Tetiker, Ramkumar Subramanian, Yoko Yamaguchi
  • Patent number: 9620402
    Abstract: An alignment apparatus for aligning a wafer includes a mounting unit, an imaging unit, an elevation unit, and a controlling unit. The control unit outputs a control signal for controlling the elevation unit such that a luminance variation between the outer side and the inner side of the wafer obtained by the imaging unit becomes the same as a luminance variation obtained when the imaging unit is focused, for estimating a warpage state of the wafer based on an amount of relative movement of the imaging unit and the mounting unit with respect to a relative height position of the imaging unit and the mounting unit obtained when the imaging unit is focused on a reference wafer having no warpage, and for detecting the alignment mark of the wafer by the imaging unit by rotating the mounting unit in a state where the imaging unit is focused.
    Type: Grant
    Filed: September 17, 2015
    Date of Patent: April 11, 2017
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Toshiaki Kodama, Toru Yamauchi
  • Patent number: 9472415
    Abstract: A method of forming a trench in an oxide layer; where the oxide layer is formed on top of a nitride layer. The trench is formed using an iterative etching technique until the nitride layer is exposed, each iterative etching step includes; using an isotropic etching technique to remove a portion of the oxide layer, the isotropic etching technique produces a byproduct that remains along a sidewall and a bottom of the trench, then using an anisotropic etching technique to remove the salt from the bottom of the trench, leaving salt on the sidewalls of the trench.
    Type: Grant
    Filed: April 30, 2014
    Date of Patent: October 18, 2016
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Emre Alptekin, Sivananda K. Kanakasabapathy, Ahmet S. Ozcan, Viraj Y. Sardesai, Cung D. Tran
  • Patent number: 9431310
    Abstract: A simulation method includes acquiring processing conditions for performing an etching process using plasma on a surface of a wafer covered by a mask having a predetermined mask thickness and aperture ratio, calculating, based on the conditions, a flux amount of a reaction product that enters the surface, calculating, based on mask information including the thickness and the aperture ratio and the flux amount, an etching rate of the wafer, calculating, based on the conditions and the etching rate, a dissociation fraction of the product, calculating, based on the information and the etching rate, a solid angle at a predetermined evaluation point set on the surface, the solid angle corresponding to a view area in which plasma space can be seen from the evaluation point, and calculating, based on the etching rate, the dissociation fraction, the solid angle, and the aperture ratio, a control index for evaluating a surface shape.
    Type: Grant
    Filed: October 23, 2014
    Date of Patent: August 30, 2016
    Assignee: Sony Corporation
    Inventors: Nobuyuki Kuboi, Takashi Kinoshita
  • Patent number: 9416787
    Abstract: A method and arrangement for determining the flow rate (Q) produced by a pump, when the pump is controlled with a frequency converter, which produces estimates for rotational speed and torque of the pump, and the characteristic curves of the pump are known. The method includes determining the shape of a QH curve of the pump, dividing the QH curve into two or more regions depending on the shape of the QH curve, determining on which region of the QH curve the pump is operating, and determining the flow rate (Q) of the pump using the determined operating region of the characteristic curve.
    Type: Grant
    Filed: March 29, 2012
    Date of Patent: August 16, 2016
    Assignee: ABB Technology Oy
    Inventors: Jussi Tamminen, Tero Ahonen, Jero Ahola
  • Patent number: 9336998
    Abstract: In one embodiment a method of etching a substrate includes directing a first ion beam to the substrate through an extraction plate of a processing apparatus using a first set of control settings of the processing apparatus. The method may further include detecting a signal from the substrate that indicates a change in material being etched by the first ion beam from a first material to a second material, adjusting control settings of the processing apparatus to a second set of control settings different from the first set of control settings based on the second material, and directing a second ion beam to the substrate through the extraction plate using the second set of control settings.
    Type: Grant
    Filed: May 9, 2014
    Date of Patent: May 10, 2016
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Ludovic Godet, Daniel Distaso, Nini Munoz, Tristan Ma, Yu Liu
  • Patent number: 9330990
    Abstract: Disclosed is a method for determining an endpoint of an etch process using optical emission spectroscopy (OES) data as an input. Optical emission spectroscopy (OES) data are acquired by a spectrometer attached to a plasma etch processing tool. The acquired time-evolving spectral data are first filtered and demeaned, and thereafter transformed into transformed spectral data, or trends, using multivariate analysis such as principal components analysis, in which previously calculated principal component weights are used to accomplish the transform. A functional form incorporating multiple trends may be used to more precisely determine the endpoint of an etch process. A method for calculating principal component weights prior to actual etching, based on OES data collected from previous etch processing, is disclosed, which method facilitates rapid calculation of trends and functional forms involving multiple trends, for efficient and accurate in-line determination of etch process endpoint.
    Type: Grant
    Filed: October 17, 2013
    Date of Patent: May 3, 2016
    Assignee: Tokyo Electron Limited
    Inventors: Yan Chen, Serguei Komarov, Vi Vuong
  • Patent number: 9318368
    Abstract: In a method for manufacturing a dual shallow trench isolation structure, a substrate is provided, and a mask layer is formed on the substrate. The mask layer is patterned by using a photomask to form at least one first hole and at least one second hole in the mask layer, in which a depth of the at least one first hole is different from a depth of the at least one second hole. The mask layer and the substrate are etched to form at least one first trench having a first depth and at least one second trench having a second depth, in which the first depth is different from the second depth. The remaining mask layer is removed. A first isolation layer and A second isolation layer are respectively formed in the at least one first trench and the at least one second trench.
    Type: Grant
    Filed: November 14, 2013
    Date of Patent: April 19, 2016
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Fu-Cheng Chang, Chai-Der Yen, Fu-Tsun Tsai, Chi-Cherng Jeng, Chih-Mu Huang
  • Patent number: 9305805
    Abstract: Provided are methods of etching a substrate using atomic layer deposition apparatus. Atomic layer deposition apparatus including a gas distribution plate with a thermal element and remote plasma are discussed. The thermal element is capable of locally changing the temperature of a portion of the surface of the substrate to vaporize an etch layer deposited on the substrate.
    Type: Grant
    Filed: January 21, 2015
    Date of Patent: April 5, 2016
    Assignee: Applied Materials, Inc.
    Inventors: Mei Chang, Joseph Yudovsky
  • Patent number: 9190337
    Abstract: There is provided an etching method. A temperature at a plurality of predetermined positions on an upper surface of an Si substrate is measured during the etching processing. The etching processing includes supplying an etching solution to the upper surface of the Si substrate. An exothermic reaction occurs in the etching processing. The upper surface is heated or cooled depending on the measured value.
    Type: Grant
    Filed: May 20, 2014
    Date of Patent: November 17, 2015
    Assignee: TOHOKU UNIVERSITY
    Inventors: Takeshi Sakai, Tatsuro Yoshida, Kazuhiro Yoshikawa, Shigetoshi Sugawa