Relative Movement Between The Substrate And A Confined Pool Of Etchant Patents (Class 216/90)
  • Patent number: 9598310
    Abstract: An apparatus for etching a glass substrate includes a vessel configured to contain an etchant; a first plate in the vessel and configured to receive a horizontally placed glass substrate thereon; and a circulating unit in the vessel facing the first plate and configured to create a flow of the etchant on a side of the first plate.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: March 21, 2017
    Assignee: Samsung Display Co., Ltd.
    Inventors: Ah-Ram Lee, Kwan-Young Han
  • Patent number: 9548223
    Abstract: A device and method for processing wafer-shaped articles comprises a process chamber and a rotary chuck located within the process chamber. The rotary chuck is adapted to be driven without physical contact through a magnetic bearing. The rotary chuck comprises a series of gripping pins adapted to hold a wafer shaped article in a position depending downwardly from the rotary chuck. The rotary chuck further comprises a plate that rotates together with the rotary chuck. The plate is positioned above an area occupied by the wafer-shaped article, and shields upper surfaces of the process chamber from liquids flung off of a wafer-shaped article during use of the rotary chuck.
    Type: Grant
    Filed: December 23, 2011
    Date of Patent: January 17, 2017
    Assignee: LAM RESEARCH AG
    Inventors: Dieter Frank, Robert Rogatschnig, Andreas Gleissner
  • Patent number: 9353625
    Abstract: A device for cleaning oxidized or corroded components (26), especially gas turbine components which are exposed to hot gases, in the presence of a halogenous gas, includes a cleaning retort which is designed in the shape of a boiler or cylinder and into which, indirectly or directly, leads a feed line which is connected via a flow control unit to a gas reservoir which stores the halogenous gas, and in which a device for gas distribution is integrated. The flow control unit has a gas volume control valve (5), a heat exchanger unit (9), and also a gas volume measuring unit (6) in sequence along the throughflow direction of the halogenous gas which flows through the feed line. Furthermore, a gas distribution in the retort directs the halogenous gas directly to the components which are to be cleaned.
    Type: Grant
    Filed: January 13, 2009
    Date of Patent: May 31, 2016
    Assignee: GENERAL ELECTRIC TECHNOLOGY GMBH
    Inventors: Ansgar Luttermann, Alexander Stankowski, Karsten Bindernagel
  • Patent number: 9040431
    Abstract: A method for processing a silicon wafer is provided. The method includes allowing an etchant to flow along a surface of the silicon wafer to form a line in which a plurality of apertures are arranged in a flow direction of the etchant from an upstream side to a downstream side. The apertures arranged in the line include a first aperture formed on the most upstream side and a second aperture formed downstream of the first aperture in the flow direction of the etchant. The first aperture and the second aperture are subjected to different processes after being formed.
    Type: Grant
    Filed: June 24, 2013
    Date of Patent: May 26, 2015
    Assignee: Canon Kabushiki Kaisha
    Inventors: Hirohisa Fujita, Shuji Koyama, Keiji Matsumoto, Kenta Furusawa
  • Patent number: 9039914
    Abstract: The invention provides a chemical-mechanical polishing composition containing wet-process silica, an oxidizing agent that oxidizes nickel-phosphorous, a chelating agent, polyvinyl alcohol, and water. The invention also provides a method of chemically-mechanically polishing a substrate, especially a nickel-phosphorous substrate, by contacting a substrate with a polishing pad and the chemical-mechanical polishing composition, moving the polishing pad and the polishing composition relative to the substrate, and abrading at least a portion of the substrate to polish the substrate.
    Type: Grant
    Filed: May 23, 2012
    Date of Patent: May 26, 2015
    Assignee: Cabot Microelectronics Corporation
    Inventors: Selvaraj Palanisamy Chinnathambi, Haresh Siriwardane
  • Patent number: 9023228
    Abstract: A pickling solution for the surface pre-treatment of plastic surfaces in preparation for metallization, the solution comprising a source of Mn(VII) ions; and an inorganic acid; wherein the pickling solution is substantially free of chromium (VI) ions, alkali ions, and alkaline-earth ions.
    Type: Grant
    Filed: August 11, 2008
    Date of Patent: May 5, 2015
    Assignee: Enthone Inc.
    Inventors: Mark Peter Schildmann, Ulrich Prinz, Christoph Werner
  • Patent number: 9005464
    Abstract: A tool and method is provided for mixing multiple components and feeding a single blend of the multiple components into the tool. The method includes adjusting a concentration of etchant solution. The method includes determining an etch target for each batch of wafers of a plurality of batches of wafers entering an etch chamber of a wafer processing tool. The method further includes adjusting a concentration of 40% NH4F to 49% HF for the each batch of wafers of the plurality of batches of wafers entering the wafer processing tool during a single run.
    Type: Grant
    Filed: June 27, 2011
    Date of Patent: April 14, 2015
    Assignee: International Business Machines Corporation
    Inventors: Russell H. Arndt, David F. Hilscher
  • Patent number: 8980121
    Abstract: The present invention provides an etching liquid for a multilayer thin film containing a copper layer and a titanium layer, and a method of using it for etching a multilayer thin film containing a copper layer and a titanium layer, that is, an etching liquid for a multilayer thin film containing a copper layer and a titanium layer, which comprises (A) hydrogen peroxide, (B) nitric acid, (C) a fluoride ion source, (D) an azole, (E) a quaternary ammonium hydroxide and (F) a hydrogen peroxide stabilizer and has a pH of from 1.5 to 2.5, and a etching method of using it.
    Type: Grant
    Filed: January 28, 2011
    Date of Patent: March 17, 2015
    Assignees: Mitsubishi Gas Chemical Company, Inc., Sharp Kabushiki Kaisha
    Inventors: Tomoyuki Adaniya, Satoshi Okabe, Toshiyuki Gotou, Taketo Maruyama, Kazuki Kobayashi, Keiichi Tanaka, Wataru Nakamura, Kenichi Kitoh, Tetsunori Tanaka
  • Patent number: 8980113
    Abstract: A method for chemical mechanical polishing of a substrate includes polishing the substrate at a stock removal rate of greater than about 2.5 ?/min to achieve a Ra of not greater than about 5.0 ?. The substrate can be a III-V substrate or a SiC substrate. The polishing utilizes a chemical mechanical polishing slurry comprising ultra-dispersed diamonds and at least 80 wt % water.
    Type: Grant
    Filed: March 12, 2010
    Date of Patent: March 17, 2015
    Assignee: Saint-Gobain Ceramics & Plastics, Inc.
    Inventors: Jun Wang, Ronald W. Laconto, Andrew G. Haerle
  • Patent number: 8980114
    Abstract: A film in a dry state is efficiently dissolved and removed. A film removing method includes steps of moving a nozzle head (10B) close to a soluble film (201) formed on a substrate (200), forming a liquid pool (302) of chemical liquid (300) between the nozzle head (10B) and the film (201) by continuously and simultaneously discharging and sucking the chemical liquid (300) from the nozzle head (10B), and horizontally moving the substrate (100) in a state in which the nozzle head (10B) and the surface of the film (201) are not contacted so as to relatively move the liquid pool (302) of the chemical liquid on the substrate (100).
    Type: Grant
    Filed: April 11, 2012
    Date of Patent: March 17, 2015
    Assignee: Tazmo Co., Ltd.
    Inventor: Yoshinori Ikagawa
  • Patent number: 8961807
    Abstract: Disclosed are a polishing composition and method of polishing a substrate. The composition has low-load (e.g., up to about 0.1 wt. %) of abrasive particles. The polishing composition also contains water and at least one anionic surfactant. In some embodiments, the abrasive particles are alpha alumina particles (e.g., coated with organic polymer). The polishing composition can be used, e.g., to polish a substrate of weak strength such as an organic polymer. An agent for oxidizing at least one of silicon and organic polymer is included in the composition in some embodiments.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: February 24, 2015
    Assignee: Cabot Microelectronics Corporation
    Inventors: Lin Fu, Steven Grumbine
  • Patent number: 8936729
    Abstract: According to one embodiment, a planarizing method is proposed. In the planarizing method, a surface to be processed of an object to be processed including a silicon oxide film is planarized in a processing solution by bringing the surface to be processed into contact with or close proximity with the surface of a solid-state plate on which fluorine is adsorbed. The bonding energy between fluorine and the solid-state plate is lower than that between fluorine and silicon.
    Type: Grant
    Filed: September 5, 2012
    Date of Patent: January 20, 2015
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Akifumi Gawase, Yukiteru Matsui
  • Patent number: 8932952
    Abstract: Disclosed is a method for polishing a silicon wafer, wherein a surface to be polished of a silicon wafer is rough polished, while supplying a polishing liquid, which is obtained by adding a water-soluble polymer to an aqueous alkaline solution that contains no free abrasive grains, to a polishing cloth. Consequently, the surface to be polished can be polished at high polishing rate and the flatness of the edge portion including roll-off and roll-up can be controlled.
    Type: Grant
    Filed: March 23, 2011
    Date of Patent: January 13, 2015
    Assignee: Sumco Corporation
    Inventors: Shinichi Ogata, Ryuichi Tanimoto, Ichiro Yamasaki, Shunsuke Mikuriya
  • Patent number: 8932473
    Abstract: The invention relates to a method for making a 3D nanostructure having a nanosubstructure, comprising the steps of: i) providing a mold comprising at least one sharp concave corner; ii) conformational depositing at least one structural material in the sharp concave corner; iii) isotropically removing structural material; iv) depositing at least one other structural material; v) removing earlier deposited structural material; vi) forming a nanosubstructure; and vii) removing the mold thereby providing the 3D nanostructure having the nanosubstructure.
    Type: Grant
    Filed: December 22, 2008
    Date of Patent: January 13, 2015
    Assignee: Universiteit Twente
    Inventors: Johan Willem Berenschot, Niels Roelof Tas
  • Patent number: 8926854
    Abstract: The present disclosure relates to roll-to-roll doping method of graphene film, and doped graphene film.
    Type: Grant
    Filed: July 2, 2012
    Date of Patent: January 6, 2015
    Assignee: Graphene Square, Inc.
    Inventors: Byung Hee Hong, Jonghyun Ahn, Hyeong Keun Kim, Su Kang Bae
  • Patent number: 8926859
    Abstract: A polishing composition for a silicon wafer includes a macromolecular compound, an abrasive, and an aqueous medium. The macromolecular compound includes a constitutional unit (a1) represented by the following general formula (1), a constitutional unit (a2) represented by the following general formula (2), and a constitutional unit (a3) represented by the following general formula (3). The total of the constitutional unit (a3) is 0.001 to 1.5 mol % of all the constitutional units of the macromolecular compound.
    Type: Grant
    Filed: July 5, 2010
    Date of Patent: January 6, 2015
    Assignee: Kao Corporation
    Inventors: Masahiko Suzuki, Mami Okamura, Toshiaki Oi
  • Patent number: 8900477
    Abstract: Provided are a metal-polishing liquid that comprises an oxidizing agent, an oxidized-metal etchant, a protective film-forming agent, a dissolution promoter for the protective film-forming agent, and water; a method for producing it; and a polishing method of using it. Also provided are materials for the metal-polishing liquid, which include an oxidized-metal etchant, a protective film-forming agent, and a dissolution promoter for the protective film-forming agent.
    Type: Grant
    Filed: January 17, 2008
    Date of Patent: December 2, 2014
    Assignees: Hitachi, Ltd., Hitachi Chemical Company, Ltd.
    Inventors: Takeshi Uchida, Tetsuya Hoshino, Hiroki Terazaki, Yasuo Kamigata, Naoyuki Koyama, Yoshio Honma, Seiichi Kondoh
  • Patent number: 8900473
    Abstract: The CMP polishing liquid of the present invention contains 1,2,4-triazole, a phosphoric acid, an oxidant, and abrasive particles. The polishing method of the present invention is a substrate polishing method for polishing a substrate with a polishing cloth while supplying a CMP polishing liquid between the substrate and the polishing cloth, in which the substrate is a substrate having a palladium layer, and the CMP polishing liquid is a CMP polishing liquid containing 1,2,4-triazole, a phosphoric acid, an oxidant, and abrasive particles.
    Type: Grant
    Filed: July 23, 2009
    Date of Patent: December 2, 2014
    Assignee: Hitachi Chemical Company, Ltd.
    Inventors: Hisataka Minami, Ryouta Saisyo, Hiroshi Ono
  • Patent number: 8883020
    Abstract: Greater planarity is achieved between surfaces of a conductive structure and a layer within which the conductive structure resides. A portion of the conductive structure protruding above the surface of the layer is selectively oxidized, at least in part, to form an oxidized portion. The oxidized portion is then removed, at least partially, to facilitate achieving greater planarity. The protruding portions may optionally be formed by selectively disposing conductive material over the conductive structure, when that the conductive structure is initially recessed below the surface of the layer. A further embodiment includes selectively oxidizing a portion of the conductive structure below the surface of the layer, removing at least some of the oxidized portion so that an upper surface of the conductive structure is below the upper surface of the layer, and planarizing the upper surface of the layer to the upper surface of the conductive structure.
    Type: Grant
    Filed: January 30, 2013
    Date of Patent: November 11, 2014
    Assignee: Globalfoundries, Inc.
    Inventors: Xunyuan Zhang, Xiuyu Cai
  • Patent number: 8877075
    Abstract: In accordance with an embodiment of the present invention, a method of polishing a device includes providing a layer having a non-uniform top surface. The non-uniform top surface includes a plurality of protrusions. The method further includes removing the plurality of protrusions by exposing the layer to a fluid that has gas bubbles and a liquid.
    Type: Grant
    Filed: February 1, 2012
    Date of Patent: November 4, 2014
    Assignee: Infineon Technologies AG
    Inventor: Johann Kosub
  • Patent number: 8821747
    Abstract: A method for manufacturing a glass substrate for a magnetic disk comprises a surface grinding step of processing a mirror-surface plate glass, having a main surface in the form of a mirror surface, to a required flatness and surface roughness using fixed abrasive particles. The method comprises, before the surface grinding step using the fixed abrasive particles, a surface roughening step of roughening the surface of the mirror-surface plate glass by frosting.
    Type: Grant
    Filed: October 5, 2009
    Date of Patent: September 2, 2014
    Assignee: Hoya Corporation
    Inventors: Takanori Mizuno, Yosuke Suzuki
  • Patent number: 8815108
    Abstract: A method of depositing a non-continuous coating of a first material on a substrate, comprising: a) the formation of a mask on this substrate, by forming at least two mask layers, and etching of at least one cavity in these layers, this cavity having an outline such that a coating, deposited on the substrate, through the cavities of the mask, has at least one discontinuity over said outline of the cavity; b) the deposition of the first material on the substrate, through the cavities of the mask, the coating thus deposited having at least one discontinuity over the outline of said cavity; and c) the mask is removed.
    Type: Grant
    Filed: April 3, 2008
    Date of Patent: August 26, 2014
    Assignee: Commissariat a l'Energie Atomique
    Inventors: Bruno Remiat, Laurent Vandroux, Florent Souche
  • Patent number: 8815104
    Abstract: A method (300) for etching a silicon surface (116) to reduce reflectivity. The method (300) includes electroless deposition of copper nanoparticles about 20 nanometers in size on the silicon surface (116), with a particle-to-particle spacing of 3 to 8 nanometers. The method (300) includes positioning (310) the substrate (112) with a silicon surface (116) into a vessel (122). The vessel (122) is filled (340) with a volume of an etching solution (124) so as to cover the silicon surface (116). The etching solution (124) includes an oxidant-etchant solution (146), e.g., an aqueous solution of hydrofluoric acid and hydrogen peroxide. The silicon surface (116) is etched (350) by agitating the etching solution (124) with, for example, ultrasonic agitation, and the etching may include heating (360) the etching solution (124) and directing light (365) onto the silicon surface (116). During the etching, copper nanoparticles enhance or drive the etching process.
    Type: Grant
    Filed: March 19, 2012
    Date of Patent: August 26, 2014
    Assignee: Alliance for Sustainable Energy, LLC
    Inventors: Fatima Toor, Howard Branz
  • Patent number: 8778210
    Abstract: Compositions useful for the selective removal of silicon nitride materials relative to poly-silicon, silicon oxide materials and/or silicide materials from a microelectronic device having same thereon. The removal compositions include fluorosilicic acid, silicic acid, and at least one organic solvent. Typical process temperatures are less than about 100° C. and typical selectivity for nitride versus oxide etch is about 200:1 to about 2000:1. Under typical process conditions, nickel-based silicides as well as titanium and tantalum nitrides are largely unaffected, and polysilicon etch rates are less than about 1 ? min?1.
    Type: Grant
    Filed: December 21, 2007
    Date of Patent: July 15, 2014
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Emanuel I. Cooper, Eileen R. Sparks, William R. Bowers, Mark A. Biscotto, Kevin P. Yanders, Michael B. Korzenski, Prerna Sonthalia, Nicole E. Thomas
  • Patent number: 8778209
    Abstract: An apparatus for altering a surface of a cylindrical object includes at least one container containing a fluid resist therein and having at least one opening from which the fluid resist is discharged; at least one roller operatively associated with the at least one container and having a surface sized and shaped to be exposed to the at least one opening, the surface area including a pattern of shapes and upon which the fluid resist is deposited; and at least one support member adjacent the at least one roller for supporting the cylindrical object during transit for contacting the at least one roller. A method is also provided.
    Type: Grant
    Filed: December 10, 2012
    Date of Patent: July 15, 2014
    Assignee: Linde Engineering North America Inc.
    Inventor: Benjamin P. L. Turner
  • Patent number: 8759230
    Abstract: The invention relates to an arrangement of electronic semiconductor components on a carrier system for treating the semiconductor components with a liquid medium. A semiconductor component is detachably mounted on the carrier system with the active side thereof in such a way that the arrangement comprises a gap at least in the edge region and partially between the semiconductor components and the carrier system. The aim of the invention is to provide a detachable arrangement of electronic semiconductor components on a mechanically stable carrier system for safely handling the semiconductor components during the production process, wherein the capillarity of the gap between the semiconductor components and the carrier system is reduced in a controlled manner, thus preventing the damaging effect of a liquid medium seeping into the gap. To this end, the surface of the carrier system is shaped in such a way that the gap is widened along the entire edge region thereof.
    Type: Grant
    Filed: December 9, 2008
    Date of Patent: June 24, 2014
    Assignee: Infineon Technologies AG
    Inventors: Stephan Bradl, Michael Melzl, Josef Schwaiger, Thilo Stache
  • Patent number: 8747688
    Abstract: The present invention provides a method of easily chamfering and polishing an inner peripheral face and an outer peripheral face of a glass disk at low cost. By continuously supplying fresh etchants to an inner peripheral face and an outer peripheral face of a glass disk stacked body in which a plurality of glass disks are stacked, the inner and outer peripheral faces are polished.
    Type: Grant
    Filed: September 19, 2007
    Date of Patent: June 10, 2014
    Assignee: Konica Minolta, Inc.
    Inventor: Hideki Kawai
  • Patent number: 8734665
    Abstract: A composition and a method for chemical mechanical polishing. The composition includes a surfactant anion an alkyl alcohol, a controlled amount of chloride ion source and a diluent. The composition further includes abrasive particles and an oxidizer. The method includes providing the composition on a surface to be polished and polishing the surface by contacting the surface with a polishing pad.
    Type: Grant
    Filed: October 12, 2011
    Date of Patent: May 27, 2014
    Assignee: International Business Machines Corporation
    Inventors: Graham M. Bates, Michael T. Brigham, Joseph K. Comeau, Jason P. Ritter, Eva A. Shah, Matthew T. Tiersch, Eric J. White
  • Patent number: 8728942
    Abstract: Mirror-polishing a front surface of a silicon wafer using polishing liquid composed of an abrasive grain-free alkaline solution including water-soluble polymers simplifies a polishing process, thus leading to an increase in productivity and a reduction in cost, and reduces the density of LPDs attributable to processing and occurring in the front surface of a mirror-polished wafer, thus improving the surface roughness of the wafer front surface.
    Type: Grant
    Filed: August 20, 2010
    Date of Patent: May 20, 2014
    Assignee: Sumico Corporation
    Inventors: Shinichi Ogata, Kazushige Takaishi, Hironori Nishimura, Shigeru Okuuchi, Shunsuke Mikuriya, Yuichi Nakayoshi
  • Patent number: 8703004
    Abstract: According to one embodiment, a method is disclosed for chemical planarization. The method can include forming a surface layer on a to-be-processed film having irregularity. The surface layer binds to or adsorbs onto the to-be-processed film along the irregularity to suppress dissolution of the to-be-processed film. The method can include planarizing the to-be-processed film in a processing solution dissolving the to-be-processed film, by rotating the to-be-processed film and a processing body while the to-be-processed film contacting the processing body via the surface layer, removing the surface layer on convex portions of the irregularity while leaving the surface layer on concave portions of the irregularity and making dissolution degree of the convex portions larger than dissolution degree of the concave portions.
    Type: Grant
    Filed: March 16, 2012
    Date of Patent: April 22, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yukiteru Matsui, Masako Kodera, Hiroshi Tomita, Gaku Minamihaba, Akifumi Gawase
  • Patent number: 8696924
    Abstract: A polishing apparatus is used for polishing and planarizing a substrate such as a semiconductor wafer on which a conductive film such as a copper (Cu) layer or a tungsten (W) layer is formed. The polishing apparatus includes a polishing table having a polishing surface, a motor for rotating the polishing table, a top ring for holding a substrate and pressing the substrate against the polishing surface, a film thickness measuring sensor disposed in the polishing table for scanning a surface of the substrate, and a computing device for processing signals of the film thickness measuring sensor to compute a film thickness of the substrate.
    Type: Grant
    Filed: April 4, 2007
    Date of Patent: April 15, 2014
    Assignees: Ebara Corporation, Kabushiki Kaisha Toshiba
    Inventors: Mitsuo Tada, Taro Takahashi, Motohiro Niijima, Shinro Ohta, Atsushi Shigeta
  • Patent number: 8691695
    Abstract: The present invention provides a chemical-mechanical polishing (CMP) composition suitable for polishing a silicon nitride-containing substrate while suppressing polysilicon removal from the substrate. The composition comprises abrasive particles suspended in an acidic aqueous carrier containing a surfactant comprising an alkyne-diol, an alkyne diol ethoxylate, or a combination thereof. Methods of polishing a semiconductor substrate therewith are also disclosed.
    Type: Grant
    Filed: June 18, 2010
    Date of Patent: April 8, 2014
    Assignee: Cabot Microelectronics Corporation
    Inventors: Kevin Moeggenborg, William Ward, Ming-Shih Tsai, Francesco De Rege Thesauro
  • Patent number: 8685864
    Abstract: In a method for the treatment of a substrate surface of a flat substrate with a process medium at the substrate underside, the process medium has a removing or etching effect on the substrate surface. The substrates are wetted with the process medium from below in a manner lying horizontally. The upwardly facing substrate top side is wetted or covered with water or a corresponding protective liquid over a large area or over the whole area as protection against the process medium acting on the substrate top side.
    Type: Grant
    Filed: July 28, 2010
    Date of Patent: April 1, 2014
    Assignee: Gebr. Schmid GmbH
    Inventor: Christian Schmid
  • Patent number: 8673784
    Abstract: The method for producing a silicon epitaxial wafer according to the present invention has: a growth step F at which an epitaxial layer is grown on a silicon single crystal substrate; a first polishing step D at which, before the growth step, at least a front surface of the silicon single crystal substrate is polished without using abrasive grains; and a second polishing step G at which at least the front surface of the silicon single crystal substrate is subjected to finish polishing after the growth step.
    Type: Grant
    Filed: April 12, 2010
    Date of Patent: March 18, 2014
    Assignee: Sumco Corporation
    Inventors: Shigeru Okuuchi, Shinichi Ogata
  • Publication number: 20140042124
    Abstract: A film in a dry state is efficiently dissolved and removed. A film removing method includes steps of moving a nozzle head (10B) close to a soluble film (201) formed on a substrate (200), forming a liquid pool (302) of chemical liquid (300) between the nozzle head (10B) and the film (201) by continuously and simultaneously discharging and sucking the chemical liquid (300) from the nozzle head (10B), and horizontally moving the substrate (100) in a state in which the nozzle head (10B) and the surface of the film (201) are not contacted so as to relatively move the liquid pool (302) of the chemical liquid on the substrate (100).
    Type: Application
    Filed: April 11, 2012
    Publication date: February 13, 2014
    Applicant: TAZMO CO., LTD.
    Inventor: Yoshinori Ikagawa
  • Patent number: 8563440
    Abstract: A method for chemically treating a disc-shaped substrate having a bottom surface, a top surface and side surfaces by contacting a process medium that is fluid-chemically active with at least the bottom surface of the substrate. The substrate is moved relative to the process medium while forming a triple line between the substrate, the substrate medium and the atmosphere surrounding the substrate and medium. In order to chemically remove errors, particularly in the side surfaces, relative motion should be carried out while avoiding a contacting of the process medium with the top surface of the substrate, where the triple line is formed at a desired height of the side surface facing away from the process medium flow side in relation to the relative motion between the substrate and the process medium.
    Type: Grant
    Filed: September 29, 2009
    Date of Patent: October 22, 2013
    Assignee: Schott Solar AG
    Inventors: Andreas Teppe, Berthold Schum, Dieter Franke, Ingo Schwirtlich, Knut Vaas, Wilfried Schmidt
  • Patent number: 8562855
    Abstract: In etching processing of silicon, in particular anisotropic etching processing of silicon in a manufacturing step of MEMS parts, an etching liquid having a long life of etching liquid and an etching method are provided by suppressing a lowering of an etching rate at the time of warming which is characteristic of a hydroxylamine-containing etching liquid. A silicon etching liquid which is an alkaline aqueous solution containing an alkali metal hydroxide, hydroxylamine and an inorganic carbonate compound and having a pH of 12 or more and which is able to anisotropically dissolve monocrystalline silicon therein, and an etching method of silicon using this etching liquid are provided.
    Type: Grant
    Filed: April 24, 2009
    Date of Patent: October 22, 2013
    Assignee: Mitsubishi Gas Chemical Company, Inc.
    Inventors: Kazuyoshi Yaguchi, Ryuji Sotoaka
  • Patent number: 8557132
    Abstract: A system of cleaning a CMP pad used for removing copper from a substrate, the system comprising an abrasive cleaning pad, a cleaning solution delivery system that delivers a cleaning solution, an analyzing system that monitors the characteristics of the cleaning solution optically and chemically, and a carriage that allows the analyzing system to monitor the cleaning solution at a plurality of locations on the CMP pad. The use of the abrasive cleaning pad and the cleaning solution removes contaminants from the CMP pad, and the contaminants are dissolved in the cleaning solution. By measuring the concentration of contaminants in the cleaning solution, the condition of the CMP pad can be monitored. To measure the concentration of the contaminants, changes in the refractive index and absorption of light in the cleaning solution are measured, wherein the refractive index and absorption depend on the concentration of the contaminants.
    Type: Grant
    Filed: May 10, 2004
    Date of Patent: October 15, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Dinesh Chopra, Scott Meikle
  • Patent number: 8557137
    Abstract: The invention provides a chemical-mechanical polishing composition comprising alpha alumina, fumed alumina, silica, an oxidizing agent that oxidizes nickel-phosphorous, oxalic acid, optionally, tartaric acid, optionally, a nonionic surfactant, optionally, a biocide, and water. The invention also provides a method of chemically-mechanically polishing a substrate comprising contacting a substrate with a polishing pad and the chemical-mechanical polishing composition, moving the polishing pad and the polishing composition relative to the substrate, and abrading at least a portion of the substrate to polish the substrate.
    Type: Grant
    Filed: July 11, 2012
    Date of Patent: October 15, 2013
    Assignee: Cabot Microelectronics Corporation
    Inventors: Selvaraj Palanisamy Chinnathambi, Haresh Siriwardane
  • Patent number: 8546261
    Abstract: A polishing slurry includes an abrasive, a dispersion agent, a polish accelerating agent and an adhesion inhibitor. The adhesion inhibitor includes a benzene compound combined with a carboxyl group. Methods of planarizing an insulating layer using the slurry are also provided.
    Type: Grant
    Filed: February 24, 2011
    Date of Patent: October 1, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sangkyun Kim, NamSoo Kim, JongWoo Kim, Yun-Jeong Kim
  • Patent number: 8518297
    Abstract: The present invention provides a polishing composition that can be suitably used in polishing of polysilicon, and a polishing method using the polishing composition. The polishing composition contains abrasive grains and an anionic surfactant having a monooxyethylene group or a polyoxyethylene group and has a pH of 9 to 12. If the anionic surfactant contained in the polishing composition has a polyoxyethylene group, the number of repeating oxyethylene units in the polyoxyethylene group is preferably 2 to 8. The anionic surfactant contained in the polishing composition can be an anionic surfactant that has a phosphate group, a carboxy group, or a sulfo group as well as a monooxyethylene group or a polyoxyethylene group. The content of the anionic surfactant in the polishing composition is preferably 20 to 500 ppm.
    Type: Grant
    Filed: January 30, 2009
    Date of Patent: August 27, 2013
    Assignee: Fujimi Incorporated
    Inventors: Mikikazu Shimizu, Tomohiko Akatsuka, Kazuya Sumita
  • Patent number: 8512543
    Abstract: A method of fluid processing a semiconductor workpiece, including disposing a workpiece holder with a housing capable of containing a fluid, the workpiece holder retaining the workpiece, providing an agitation system connected to the housing and comprising a member disposed within the housing adjacent the workpiece holder, and agitating the fluid by moving the member substantially parallel to a surface of the workpiece with a non-uniform oscillatory motion, the non-uniform oscillatory motion being a series of substantially continuous geometrically asymmetric oscillations wherein each consecutive oscillation of the series is geometrically asymmetric having at least two substantially continuous opposing strokes wherein reversal positions of each substantially continuous stroke of the substantially continuous asymmetric oscillation are disposed asymmetrically with respect to a center point of each immediately preceding substantially continuous stroke of the oscillation.
    Type: Grant
    Filed: December 9, 2010
    Date of Patent: August 20, 2013
    Assignee: Tel Nexx, Inc.
    Inventors: Arthur Keigler, John Harrell, Zhenqiu Liu, Qunwei Wu
  • Patent number: 8501028
    Abstract: A method for processing a semiconductor wafer includes bringing at least one grinding tool in contact with the semiconductor wafer; removing material from the semiconductor wafer using the grinding tool; disposing a liquid medium having a viscosity of at least 3×10?3 N/m2·s and at most 100×10?3 N/m2·s between the at least one grinding tool and the semiconductor wafer; and separating the at least one grinding tool and the semiconductor wafer so as to end the processing.
    Type: Grant
    Filed: September 30, 2010
    Date of Patent: August 6, 2013
    Assignee: Siltronic AG
    Inventor: Juergen Schwandner
  • Patent number: 8496843
    Abstract: A method for chemical mechanical polishing of a substrate is provided, comprising: providing a substrate, wherein the substrate comprises polysilicon and at least one of silicon oxide and silicon nitride; providing a chemical mechanical polishing composition, comprising, as initial components: water; an abrasive; and an alkyl aryl polyether sulfonate compound, wherein the alkyl aryl polyether sulfonate compound has a hydrophobic portion having an alkyl group bound to an aryl ring and a nonionic acyclic hydrophilic portion having 4 to 100 carbon atoms; providing a chemical mechanical polishing pad with a polishing surface; moving the polishing surface relative to the substrate; dispensing the chemical mechanical polishing composition onto the polishing surface; and, abrading at least a portion of the substrate to polish the substrate; wherein at least some of the polysilicon is removed from the substrate; and, wherein at least some of the at least one of silicon oxide and silicon nitride is removed from the su
    Type: Grant
    Filed: March 16, 2010
    Date of Patent: July 30, 2013
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventors: Yi Guo, Zhendong Liu, Kancharla-Arun Kumar Reddy, Guangyun Zhang
  • Patent number: 8492277
    Abstract: A method for chemical mechanical polishing of a substrate is provided, comprising: providing a substrate, wherein the substrate comprises polysilicon and at least one of silicon oxide and silicon nitride; providing a chemical mechanical polishing composition, comprising, as initial components: water; an abrasive; and an acyclic organosulfonic acid compound, wherein the acyclic organosulfonic acid compound has an acyclic hydrophobic portion having 6 to 30 carbon atoms and a nonionic acyclic hydrophilic portion having 10 to 300 carbon atoms; providing a chemical mechanical polishing pad with a polishing surface; moving the polishing surface relative to the substrate; dispensing the chemical mechanical polishing composition onto the polishing surface; and, abrading at least a portion of the substrate to polish the substrate; wherein at least some of the polysilicon is removed from the substrate; and, wherein at least some of the at least one of silicon oxide and silicon nitride is removed from the substrate.
    Type: Grant
    Filed: March 16, 2010
    Date of Patent: July 23, 2013
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc
    Inventors: Yi Guo, Zhendong Liu, Kancharla-Arun Kumar Reddy, Guangyun Zhang
  • Patent number: 8491808
    Abstract: A method for chemical mechanical polishing of a substrate is provided, comprising: providing a substrate, wherein the substrate comprises polysilicon, silicon oxide and silicon nitride; providing a chemical mechanical polishing composition, comprising, as initial components: water; an abrasive; an alkyl aryl polyether sulfonate compound, wherein the alkyl aryl polyether sulfonate compound has a hydrophobic portion having an alkyl group bound to an aryl ring and a nonionic acyclic hydrophilic portion having 4 to 100 carbon atoms; and a substance according to formula I wherein each of R1, R2, R3, R4, R5, R6 and R7 is a bridging group having a formula —(CH2)n—, wherein n is an integer selected from 1 to 10; providing a chemical mechanical polishing pad with a polishing surface; moving the polishing surface relative to the substrate; dispensing the chemical mechanical polishing composition onto the polishing surface; and, abrading at least a portion of the substrate to polish the substrate; wherein at least s
    Type: Grant
    Filed: March 16, 2010
    Date of Patent: July 23, 2013
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventors: Yi Guo, Zhendong Liu, Kancharla-Arun Kumar Reddy, Guangyun Zhang
  • Patent number: 8466071
    Abstract: An object of the present invention is to provide a method for etching a single wafer, which effectively realizes a high flatness of wafer and an increase in productivity thereof. In a method for etching a single wafer, a single thin disk-like wafer sliced from a silicon single crystal ingot is spun, and a front surface of the wafer is etched with an etching solution supplied thereto. In the method, a plurality of supply nozzles are disposed above and opposite to the front surface of the wafer at different portions in the radial direction of the wafer, respectively; and then one or more conditions selected from the group consisting of temperatures, kinds, and supply flow rates of etching solutions from the plurality of supply nozzles are changed.
    Type: Grant
    Filed: January 24, 2007
    Date of Patent: June 18, 2013
    Assignee: Sumco Corporation
    Inventors: Sakae Koyata, Tomohiro Hashii, Katsuhiko Murayama, Kazushige Takaishi, Takeo Katoh
  • Patent number: 8377825
    Abstract: Methods and apparatus for reducing damage of a semiconductor donor wafer include the steps of: (a) rotating a polishing pad, rotating the semiconductor donor wafer, applying a polishing slurry to the polishing pad, and pressing the semiconductor donor wafer and the polishing pad together; and (b) rotating the polishing pad and the semiconductor donor wafer, discontinuing the application of the polishing slurry, applying a rinsing fluid to the polishing pad, and pressing the semiconductor donor wafer and the polishing pad together, wherein step (a) followed by step (b) is carried out in sequence at least two times, and at least one of the following are reduced in at least two successive intervals of step (a): (i) a pressure at which the semiconductor donor wafer and the polishing pad are pressed together, (ii) a mean particle size of an abrasive within the polishing slurry, and (iii) a concentration of the slurry in water and stabilizers.
    Type: Grant
    Filed: October 30, 2009
    Date of Patent: February 19, 2013
    Assignee: Corning Incorporated
    Inventors: Jonas Bankaitis, Michael John Moore
  • Patent number: 8334210
    Abstract: A method of manufacturing a semiconductor device, includes: (a) obtaining a surface of a polishing target, wherein an insulating film and a metal film are exposed; and (b) polishing the surface having the exposed insulating film and the exposed metal film. The step (b) includes; (b1) polishing the surface in a condition with high frictional force, and (b2) polishing the surface in a condition with usual frictional force lower than the high frictional force after the step (b1).
    Type: Grant
    Filed: August 29, 2007
    Date of Patent: December 18, 2012
    Assignee: Renesas Electronics Corporation
    Inventors: Masafumi Shiratani, Tomotake Morita
  • Publication number: 20120298396
    Abstract: The present disclosure relates to a manufacturing method of a graphene fiber, a graphene fiber manufactured by the same method, and use thereof. The graphene fiber formed by using graphenes of linear pattern can be applied to various fields such as an electric wire and coaxial cable.
    Type: Application
    Filed: August 8, 2012
    Publication date: November 29, 2012
    Applicant: VRYUS CO., LTD.
    Inventors: Byung Hee HONG, Keun Soo KIM, Hyeong Keun KIM, Su Kang BAE