Plasma Generating Patents (Class 315/111.21)
  • Patent number: 11935724
    Abstract: The disclosure pertains to a capacitively coupled plasma source in which VHF power is applied through an impedance-matching coaxial resonator having a symmetrical power distribution.
    Type: Grant
    Filed: February 13, 2023
    Date of Patent: March 19, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Kartik Ramaswamy, Igor Markovsky, Zhigang Chen, James D. Carducci, Kenneth S. Collins, Shahid Rauf, Nipun Misra, Leonid Dorf, Zheng John Ye
  • Patent number: 11923175
    Abstract: Disclosed is a method and apparatus for utilizing a variable gain algorithm for adjusting a capacitor in an automatic radio frequency (RF) impedance matching network. The apparatus may operate in a closed-loop feedback control system, with one or more error signals driving the capacitors within the system. To achieve a critically damped control system response, multiple operating regions for the matching network and its constituent elements may be identified and a set of gains (e.g., different per region) may be applied to the error signals in the control system when operating in those regions. An operating region may be defined by characteristics of the input signals measured by the apparatus, calculated by the apparatus, or the state of the apparatus itself. These features may be arranged in a look up table (or determined by calculation) for the apparatus to use to determine the variable gains in the system.
    Type: Grant
    Filed: July 28, 2021
    Date of Patent: March 5, 2024
    Assignee: COMET TECHNOLOGIES USA, INC.
    Inventors: Dean Maw, Anthony Oliveti, Keith Rouse, Gary Russell, Tigran Poghosyan
  • Patent number: 11875971
    Abstract: Some embodiments include a nanosecond pulser circuit. In some embodiments, a nanosecond pulser circuit may include: a high voltage power supply; a nanosecond pulser electrically coupled with the high voltage power supply and switches voltage from the high voltage power supply at high frequencies; a transformer having a primary side and a secondary side, the nanosecond pulser electrically coupled with the primary side of the transformer; and an energy recovery circuit electrically coupled with the secondary side of the transformer. In some embodiments, the energy recovery circuit comprises: an inductor electrically coupled with the high voltage power supply; a crowbar diode arranged in parallel with the secondary side of the transformer; and a second diode disposed in series with the inductor and arranged to conduct current from a load to the high voltage power supply.
    Type: Grant
    Filed: February 8, 2022
    Date of Patent: January 16, 2024
    Assignee: Eagle Harbor Technologies, Inc.
    Inventors: James Prager, Timothy Ziemba, Kenneth Miller, Ilia Slobodov, Morgan Quinley
  • Patent number: 11866821
    Abstract: Embodiments of the present disclosure generally relate to an apparatus and a method for cleaning a processing chamber. In one embodiment, a substrate support cover includes a bulk member coated with a fluoride coating. The substrate support cover is placed on a substrate support disposed in the processing chamber during a cleaning process. The fluoride coating does not react with the cleaning species. The substrate support cover protects the substrate support from reacting with the cleaning species, leading to reduced condensation formed on chamber components, which in turn leads to reduced contamination of the substrate in subsequent processes.
    Type: Grant
    Filed: March 2, 2020
    Date of Patent: January 9, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Shuran Sheng, Lin Zhang, Jiyong Huang, Joseph C. Werner, Stanley Wu, Mahesh Adinath Kanawade, Yikai Chen, Yixing Lin, Ying Ma
  • Patent number: 11833264
    Abstract: A device cold plasma sterilization includes a housing, a base, a conveying assembly, a plurality of core modules, a transformer, a control cabinet, a distribution box, a shielding door, and a controller. The housing is disposed on the base. The plurality of core modules is disposed in the housing along a moving direction of the conveying assembly and are spaced apart from each other. The plurality of core modules each include a plurality of electrode assemblies spaced from one another by equal distance and arranged in a row. The transformer, the control cabinet, and the distribution box are disposed in a lower part of the base and are connected to the plurality of electrode assemblies. The conveying assembly is disposed in a middle part of the base. The base includes an inlet for entry and an outlet for exit of a package to be sterilized.
    Type: Grant
    Filed: December 23, 2020
    Date of Patent: December 5, 2023
    Assignees: NANJING AGRICULTURAL UNIVERSITY, SUZHOU YIRUN FOOD TECHNOLOGY CO., LTD.
    Inventors: Jianhao Zhang, Jiamei Wang, Lianghao Wan, Long Xu
  • Patent number: 11830709
    Abstract: An exemplary plasma processing system includes a plasma processing chamber, an electrode for powering plasma in the plasma processing chamber, a tunable radio frequency (RF) signal generator configured to output a first signal at a first frequency and a second signal at a second frequency. The second frequency is at least 1.1 times the first frequency. The system includes a broadband power amplifier coupled to the tunable RF signal generator, the first frequency and the second frequency being within an operating frequency range of the broadband power amplifier. The output of the broadband power amplifier is coupled to the electrode. The broadband power amplifier is configured to supply, at the output, first power at the first frequency and second power at the second frequency.
    Type: Grant
    Filed: October 11, 2021
    Date of Patent: November 28, 2023
    Assignee: Tokyo Electron Limited
    Inventors: Jianping Zhao, Peter Ventzek
  • Patent number: 11830704
    Abstract: A plasma processing apparatus includes: a processing container; an electrode that places a workpiece thereon; a plasma generation source that supplies plasma into the processing container; a bias power supply that supplies a bias power to the electrode; an edge ring disposed at a periphery of the workpiece; a DC power supply that supplies a DC voltage to the edge ring; a controller that executes a first control procedure in which the DC voltage periodically repeats a first state having a first voltage value and a second state having a second voltage value, the first voltage value is supplied in a partial time period within each period of a potential of the electrode, and the second voltage value is supplied such that the first and second states are continuous.
    Type: Grant
    Filed: January 25, 2023
    Date of Patent: November 28, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Chishio Koshimizu, Shin Hirotsu
  • Patent number: 11821083
    Abstract: Apparatus and methods for spatial atomic layer deposition including at least one first exhaust system and at least one second exhaust system. Each exhaust system including a throttle valve and a pressure gauge to control the pressure in the processing region associated with the individual exhaust system.
    Type: Grant
    Filed: December 28, 2021
    Date of Patent: November 21, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Ning Li, Steven D. Marcus, Tai T. Ngo, Kevin Griffin
  • Patent number: 11823928
    Abstract: A system for controlling of wafer bow in plasma processing stations is described. The system includes a circuit that provides a low frequency RF signal and another circuit that provides a high frequency RF signal. The system includes an output circuit and the stations. The output circuit combines the low frequency RF signal and the high frequency RF signal to generate a plurality of combined RF signals for the stations. Amount of low frequency power delivered to one of the stations depends on wafer bow, such as non-flatness of a wafer. A bowed wafer decreases low frequency power delivered to the station in a multi-station chamber with a common RF source. A shunt inductor is coupled in parallel to each of the stations to increase an amount of current to the station with a bowed wafer. Hence, station power becomes less sensitive to wafer bow to minimize wafer bowing.
    Type: Grant
    Filed: October 29, 2021
    Date of Patent: November 21, 2023
    Assignee: Lam Research Corporation
    Inventors: Edward Augustyniak, David French, Sunil Kapoor, Yukinori Sakiyama, George Thomas
  • Patent number: 11813372
    Abstract: Provided is a new light source device using an excimer lamp, particularly, a light source device for sterilization and deodorization. The light source device includes an excimer lamp and a flyback-type lighting device that supplies power to the excimer lamp. The lighting device includes a transformer, a switching element, and a control circuit that supplies a drive signal to the switching element. The control circuit controls the switching element on and off so that the switching frequency (FS) for the switching element at the time of starting to light is lower than the switching frequency (FO) at the time of steady-state lighting, and the ON-duty (TS) for the switching element at the time of starting to light is lower than the ON-duty (TO) at the time of steady-state lighting.
    Type: Grant
    Filed: September 10, 2021
    Date of Patent: November 14, 2023
    Assignee: Ushio Denki Kabushiki Kaisha
    Inventors: Koji Oda, Junya Asayama
  • Patent number: 11812540
    Abstract: The present disclosure is generally directed to a plasma sheet source and methods of using same. The plasma sheet source includes a cylindrical electrode having a conductive cylindrical core surrounded by a dielectric material, a plurality of channels configured to direct gas from a gas inlet to the electrode, and a plasma outlet positioned below the electrode. Gas is introduced to the plasma sheet source and directed toward the electrode, which when powered by pulsed direct current, produces plasma as the gas ionizes. The produced plasma is then directed out of the plasma outlet to a specimen for treatment of the specimen. Notably, the plasma exiting the plasma outlet is in the form of a plasma sheet that is at approximately room temperature.
    Type: Grant
    Filed: September 25, 2020
    Date of Patent: November 7, 2023
    Assignee: Board of Trustees of the University of Alabama, for and on behalf of the University of Alabama in Huntsville
    Inventors: Kunning Gabriel Xu, Ryan Patrick Gott
  • Patent number: 11798775
    Abstract: An ion source has an arc chamber with a first end and a second end. A first cathode at the first end of the arc chamber has a first cathode body and a first filament disposed within the first cathode body. A second cathode at the second end of the arc chamber has a second cathode body and a second filament disposed within the second cathode body. A filament switch selectively electrically couples a filament power supply to each of the first filament and the second filament, respectively, based on a position of the filament switch. A controller controls the position of the filament switch to alternate the electrical coupling of the filament power supply between the first filament and the second filament for a plurality of switching cycles based on predetermined criteria. The predetermined criteria can be a duration of operation of the first filament and second filament.
    Type: Grant
    Filed: September 30, 2021
    Date of Patent: October 24, 2023
    Assignee: Axcelis Technologies, Inc.
    Inventors: Wilhelm Platow, Neil Bassom, Jonathan David
  • Patent number: 11749510
    Abstract: There is provided a plasma generating device that includes a first electrode connected to a high-frequency power supply, and a second electrode to be grounded, a buffer structure configured to form a buffer chamber that accommodates the first and second electrodes wherein the first electrode and the second electrode are alternately arranged such that a number of electrodes of the first electrode and the second electrode are in an odd number of three or more in total, and wherein the second electrode is used in common for two of the first electrode being respectively adjacent to the second electrode used in common, and wherein a gas supply port that supplies gas into a process chamber is installed on a wall surface of the buffer structure.
    Type: Grant
    Filed: March 12, 2021
    Date of Patent: September 5, 2023
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventors: Akihiro Sato, Tsuyoshi Takeda, Yukitomo Hirochi
  • Patent number: 11735397
    Abstract: Disclosed herein is a device for measuring a plasma ion density, which includes a transceiver antenna configured to apply and receive a microwave, of which a frequency is varied, to and from plasma, and a frequency analyzer configured to analyze a frequency of the microwave received from the transceiver antenna and measure a cut-off frequency, wherein the frequency of the microwave applied to the plasma is varied in the range of 100 kHz to 500 MHz.
    Type: Grant
    Filed: April 5, 2021
    Date of Patent: August 22, 2023
    Assignee: KOREA RESEARCH INSTITUTE OF STANDARDS AND SCIENCE
    Inventors: Hyo Chang Lee, Jung Hyung Kim, Hee Jung Yeom
  • Patent number: 11728137
    Abstract: A drive circuit for providing RF power to a component of a substrate processing system includes a plasma source operating at a first frequency. A load includes the component of the substrate processing system. An impedance network connects the plasma source to the load. A current sensor senses current at an output of the plasma source. A voltage sensor senses voltage at the output of the plasma source. A controller includes a tuned frequency calculator configured to calculate a tuned frequency for the plasma source based on the voltage, the current, and a configuration of the impedance network and to adjust the first frequency based on the tuned frequency.
    Type: Grant
    Filed: August 8, 2019
    Date of Patent: August 15, 2023
    Assignee: Lam Research Corporation
    Inventors: Yuhou Wang, Maolin Long, Ying Wu, Alexander Miller Paterson
  • Patent number: 11710630
    Abstract: Exemplary semiconductor processing systems may include a remote plasma source. The remote plasma source may include a first plasma block segment defining an inlet to an internal channel of the first plasma block segment. The first plasma block segment may also define a cooling channel between the internal channel of the first plasma block segment and a first exterior surface of the first plasma block segment. The remote plasma source may include a second plasma block segment defining an outlet from an internal channel of the second plasma block segment. The second plasma block segment may also define a cooling channel between the internal channel of the second plasma block segment and a first exterior surface of the second plasma block segment. The systems may include a semiconductor processing chamber defining an inlet fluidly coupled with the outlet from the remote plasma source.
    Type: Grant
    Filed: April 23, 2020
    Date of Patent: July 25, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Tanmay P. Gurjar, Sumit S. Patankar, Sudhir R. Gondhalekar
  • Patent number: 11705313
    Abstract: An inspection method is provided. The inspection method includes monitoring power of a reflected wave of a power wave supplied from a source power supply for generation of plasma in a plasma processing apparatus, and obtaining a fluctuation amount of a measured value within a period after initiation of the supply of the power wave. The fluctuation amount of the measured value is a fluctuation amount indicating a fluctuation in a peak-to-peak voltage at a lower electrode of the substrate support in the chamber or a fluctuation amount indicating a fluctuation in impedance of a load including the lower electrode.
    Type: Grant
    Filed: March 5, 2021
    Date of Patent: July 18, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yusuke Hirayama, Shu Kusano
  • Patent number: 11679988
    Abstract: A method of ammonia synthesis is described that includes contacting a nitrogen gas-containing plasma with an aqueous solution, thereby forming ammonia from the nitrogen gas and water. The nitrogen gas-containing plasma is present in an electrochemical cell. The electrochemical cell includes a container including an acidic liquid electrolyte. The electrochemical cell also includes a source of nitrogen gas, a metal electrode at least partially immersed in the electrolyte, a metal tube electrode spaced apart from a surface of the electrolyte by a predetermined spacing. The electrochemical cell is configured to provide a plasma spanning the predetermined space from the metal tube electrode to contact the surface of the electrolyte when power is applied to the metal tube electrode.
    Type: Grant
    Filed: March 25, 2019
    Date of Patent: June 20, 2023
    Assignee: CASE WESTERN RESERVE UNIVERSITY
    Inventors: Julie Renner, R. Mohan Sankaran
  • Patent number: 11672879
    Abstract: A connector is configured to electrically connect a plasma emitter array with an identification chip to a power supply controller, and to further mechanically support the emitter device supporting the array during use. Cooperating components of the connector and emitter device form a magnetic latch assembly: the connector includes one or more magnets flush with a top receiving surface of the connector, and one or more alignment pegs extending outward from the receiving surface; the emitter device includes a steel plate attached to a substrate, and one or more holes disposed through the plate and the substrate. The holes align with the alignment pegs and the magnets attract the plate and secure the emitter device against the top receiving surface. Electrical contacts of the connector establish electrical communication with the identification chip, providing power to the emitter device and enabling the controller to read data stored in the identification chip.
    Type: Grant
    Filed: August 23, 2018
    Date of Patent: June 13, 2023
    Assignee: CHISCAN HOLDINGS PTE. LTD.
    Inventors: Bryon K. Eckert, Bradley N. Eckert, Huan Truong
  • Patent number: 11651939
    Abstract: A generator produces output such as delivered power, voltage, current, forward power etc. that follows a prescribed pattern of output versus time where the pattern repeats with a repetition period by controlling sections of the pattern based on measurements taken one or more repetition periods in the past. A variable impedance match network may control the impedance presented to a radio frequency generator while the generator produces the output that follows the prescribed pattern of output versus time where the pattern repeats with a repetition period by controlling variable impedance elements in the match during sections of the pattern based on measurements taken one or more repetition periods in the past.
    Type: Grant
    Filed: April 1, 2021
    Date of Patent: May 16, 2023
    Assignee: Advanced Energy Industries, Inc.
    Inventor: Gideon Van Zyl
  • Patent number: 11642542
    Abstract: Aspects described herein pertain to restoring damaged portions of tooth or bone using plasma mediated deposition. In an embodiment, a biocompatible carrier gas is ionized to form a biocompatible atmospheric plasma stream. Restoration material, such as nano-scale powdered hydroxyapatite, is introduced into the plasma stream, which is then applied to a damaged portion of a bone or tooth. The restoration material is deposited on the damaged portion of the bone or tooth, thus restoring a shape and mechanical integrity of the bone or tooth.
    Type: Grant
    Filed: August 19, 2019
    Date of Patent: May 9, 2023
    Inventor: Frederick R. Guy
  • Patent number: 11619650
    Abstract: The present invention discloses a method of preparing a specimen for scanning capacitance microscopy, comprising the steps of: providing a sample including at least one object to be analyzed; manually grinding the sample from an edge of the sample toward a target region containing the object to be analyzed gradually, and stopping at a distance of dl from a longitudinal section of the at least one object to be analyzed in the target region to form a grinding stopping surface; cutting the grinding stopping surface by a plasma focused ion beam equipped with a scanning electron microscopy toward the target region and stopping at a distance of d2 from the longitudinal section to form a cutting stopping surface, wherein 0<d2<d1; and manually grinding to polish the cutting stopping surface and gradually remove the part of the sample between the longitudinal section and the cutting stopping surface to expose the longitudinal section of the at least one object to be analyzed, and complete the preparation of a sp
    Type: Grant
    Filed: March 22, 2022
    Date of Patent: April 4, 2023
    Assignee: MSSCORPS CO., LTD.
    Inventors: Chi-Lun Liu, Hui-Ni Huang, Chia-Ling Chen, Shihhsin Chang
  • Patent number: 11615943
    Abstract: A generator produces output such as delivered power, voltage, current, forward power etc. that follows a prescribed pattern of output versus time where the pattern repeats with a repetition period by controlling sections of the pattern based on measurements taken one or more repetition periods in the past. A variable impedance match network may control the impedance presented to a radio frequency generator while the generator produces the output that follows the prescribed pattern of output versus time where the pattern repeats with a repetition period by controlling variable impedance elements in the match during sections of the pattern based on measurements taken one or more repetition periods in the past.
    Type: Grant
    Filed: February 12, 2021
    Date of Patent: March 28, 2023
    Assignee: Advanced Energy Industries, Inc.
    Inventor: Gideon Van Zyl
  • Patent number: 11616357
    Abstract: An apparatus includes at least one fuse clearing switch operable to create a fault on at least one AC line between a fuse and a transformer of a substation. The apparatus further includes a control system configured to be coupled to an arc detector and to operate the at least one fuse clearing switch responsive to a control signal produced by the arc detector.
    Type: Grant
    Filed: April 22, 2021
    Date of Patent: March 28, 2023
    Assignee: Eaton Intelligent Power Limited
    Inventor: Daniel Edward Hrncir, Jr.
  • Patent number: 11615942
    Abstract: The present disclosure provides a radio frequency (RF) source control method. An RF source includes at least one pair of a main power supply and a secondary power supply with a same frequency. The RF source control method includes dividing each process step of process steps of a plasma process into a plurality of time periods, and when performing each process step, maintaining a common exciter (CEX) phase locking delay angle of the at least one pair of the main power supply and the secondary power supply corresponding to each of the time periods at a predetermined value to provide an increased angular distribution uniformity of plasma. The RF source control method provided by the present disclosure may be used to adjust plasma distribution above a to-be-processed workpiece to average the plasma angular direction distribution of the entire process step as a whole to increase process uniformity of the to-be-processed workpiece.
    Type: Grant
    Filed: October 16, 2019
    Date of Patent: March 28, 2023
    Assignee: BEIJING NAURA MICROELECTRONICS EQUIPMENT CO., LTD.
    Inventors: Yahui Huang, Gang Wei, Jing Wei, Juanjuan Li, Guodong Chen, Jing Yang
  • Patent number: 11606979
    Abstract: A cartridge is provided for an electrically heatable aerosol-generating system, the cartridge including: an aerosol-forming substrate including a liquid held in a capillary material; and a susceptor element including first and second fluid-permeable portions, the first fluid-permeable portion being disposed on a first side of the capillary material, and the second fluid-permeable portion being disposed on a second side of the capillary material opposite to the first side such that the capillary material is located in between the first and the second fluid-permeable portions of the susceptor element, the susceptor element being electrically isolated from other electrically conductive components. A heater assembly for an electrically heatable aerosol-generating system, and an electrically heatable aerosol-generating system, are also provided.
    Type: Grant
    Filed: March 30, 2022
    Date of Patent: March 21, 2023
    Assignee: Philip Morris Products S.A.
    Inventors: Oleg Mironov, Ihar Nikolaevich Zinovik, Michel Thorens
  • Patent number: 11586032
    Abstract: A laser apparatus may include: a mirror configured to reflect a laser beam; an actuator configured to operate the mirror; and a controller configured to transmit a movement instruction to the actuator, wherein the controller predicts a movement completion time of the actuator, and transmits a polling signal so that the actuator receives the polling signal after expiration of the predicted movement completion time.
    Type: Grant
    Filed: June 10, 2019
    Date of Patent: February 21, 2023
    Assignee: Gigaphoton Inc.
    Inventors: Hiroyuki Ito, Hiroshi Tanaka
  • Patent number: 11581408
    Abstract: Embodiments of the disclosure provide an improved apparatus and methods for nitridation of stacks of materials. In one embodiment, a method for processing a substrate in a processing region of a process chamber is provided. The method includes generating and flowing plasma species from a remote plasma source to a delivery member having a longitudinal passageway, flowing plasma species from the longitudinal passageway to an inlet port formed in a sidewall of the process chamber, wherein the plasma species are flowed at an angle into the inlet port to promote collision of ions or reaction of ions with electrons or charged particles in the plasma species such that ions are substantially eliminated from the plasma species before entering the processing region of the process chamber, and selectively incorporating atomic radicals from the plasma species in silicon or polysilicon regions of the substrate.
    Type: Grant
    Filed: March 15, 2021
    Date of Patent: February 14, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Matthew Scott Rogers, Roger Curtis, Lara Hawrylchak, Canfeng Lai, Bernard L. Hwang, Jeffrey A. Tobin, Christopher S. Olsen, Malcolm J. Bevan
  • Patent number: 11574798
    Abstract: A plasma processing apparatus includes a container; a stage disposed in the container and including an electrode; a plasma source that generates plasma in the container; a bias power supply that periodically supplies a pulsed negative DC voltage to the electrode; an edge ring disposed to surround a substrate placed on the stage; and a DC power supply that supplies a DC voltage to the edge ring. The DC power supply supplies a first DC voltage in a first time period when the pulsed negative DC voltage is not supplied to the electrode, and supplies a second DC voltage in a second time period when the pulsed negative DC voltage is supplied to the electrode.
    Type: Grant
    Filed: May 12, 2020
    Date of Patent: February 7, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Chishio Koshimizu, Shin Hirotsu
  • Patent number: 11551914
    Abstract: There is provided a plasma generating device that includes a first electrode connected to a high-frequency power supply, and a second electrode to be grounded, a buffer structure configured to form a buffer chamber that accommodates the first and second electrodes wherein the first electrode and the second electrode are alternately arranged such that a number of electrodes of the first electrode and the second electrode are in an odd number of three or more in total, and wherein the second electrode is used in common for two of the first electrode being respectively adjacent to the second electrode used in common, and wherein a gas supply port that supplies gas into a process chamber is installed on a wall surface of the buffer structure.
    Type: Grant
    Filed: March 12, 2021
    Date of Patent: January 10, 2023
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventors: Akihiro Sato, Tsuyoshi Takeda, Yukitomo Hirochi
  • Patent number: 11548799
    Abstract: A water treatment system includes a water inlet that intakes water to be treated, a high voltage (HV) electrode having a porous metal surface area in a range of between 0.1 cm2 and 5 cm2 in fluid communication with the water, such that the water flows through the porous metal surface area of the HV electrode, a ground electrode disposed across a gap from the HV electrode, in fluid communication with the water, a high voltage power supply electrically connected to the HV electrode for generating spark plasma or pulsed electric fields having a rise time equal to or less than 60 nanoseconds (ns) and an amplitude greater than or equal to 30 kV/cm across the gap, thereby producing treated water, and a water outlet that discharges the treated water.
    Type: Grant
    Filed: May 11, 2020
    Date of Patent: January 10, 2023
    Assignee: Onvector LLC
    Inventor: Daniel J. Cho
  • Patent number: 11542589
    Abstract: Methods for depositing a dielectric oxide layer atop one or more substrates disposed in or processed through a PVD chamber are provided herein. In some embodiments, such a method includes: sputtering source material from a target assembly onto a first substrate while the source material is at a first erosion state and while providing a first amount of RF power to the target assembly to deposit a dielectric oxide layer onto a first substrate having a desired resistance-area; and subsequently sputtering source material from the target assembly onto a second substrate while the source material is at a second erosion state and while providing a second amount of RF power to the target assembly, wherein the second amount of RF power is lower than the first amount of RF power by a predetermined amount calculated to maintain the desired resistance-area.
    Type: Grant
    Filed: March 19, 2019
    Date of Patent: January 3, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Rongjun Wang, Xiaodong Wang, Chao Du
  • Patent number: 11527385
    Abstract: The present disclosure may include a method for calibrating a capacitor in a matching network in a radio frequency plasma processing device, the method including. The method may include identifying the capacitor in the matching network, measuring the impedance of the matching network as a whole, and driving the capacitor from a zero step value to a predefined step value. The method may further include measuring impedance at each step between the zero step value and the predefined step value, identifying the measured impedance for each step value to a predefined impedance curve, and matching a capacitor position to a specific impedance based on the identifying the measured impedance for each step value to the predefined impedance curve. Calibration of matching networks may also be enhanced by optimizing the steps to percentage reported ratio in the range of capacitor values most frequently used.
    Type: Grant
    Filed: April 29, 2021
    Date of Patent: December 13, 2022
    Assignee: COMET TECHNOLOGIES USA, INC.
    Inventors: Dean Maw, Anthony Oliveti, Keith Rouse, Gary Russell
  • Patent number: 11527386
    Abstract: A microwave output device includes a microwave generator configured to generate a pulse-modulated microwave; an output unit; a first directional coupler configured to output a part of a progressive wave; and a measurement device configured to determine measurement values of High and Low levels of a power of the progressive wave. The microwave generator alternately generates a first microwave having a bandwidth and a second microwave having a single frequency peak in synchronization with switching of the High level and the Low level; averages the measurement value corresponding to the first microwave with a moving average time equal to or larger than a reciprocal of a carrier pitch; averages the measurement value corresponding to the second microwave with a moving average time less than the reciprocal of the carrier pitch; and controls the powers of High and Low levels based on the averaged measurement values and set powers.
    Type: Grant
    Filed: March 5, 2021
    Date of Patent: December 13, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Kazushi Kaneko, Yohei Ishida
  • Patent number: 11527384
    Abstract: A radio frequency (RF) power generation system includes a RF power source that generates a RF output signal delivered to a load. A RF power controller is configured to generate a control signal to vary the RF output signal. The controller adjusts a parameter associated with the RF output signal, and the parameter is controlled in accordance with a trigger signal. The parameter is adjusted in accordance with a cost function, and the cost function is determined by intruding a perturbation into an actuator that affects the cost function. The actuator may control an external RF output signal, and the trigger signal may vary in accordance with the external RF output signal.
    Type: Grant
    Filed: November 24, 2020
    Date of Patent: December 13, 2022
    Assignee: MKS Instruments, Inc.
    Inventors: Aaron M. Burry, Aaron T. Radomski, Mariusz Oldziej, Peter Paul, Ross Reinhardt
  • Patent number: 11521866
    Abstract: In a plasma processing method, a substrate is loaded onto a lower electrode within a chamber. A plasma power is applied to form plasma within the chamber. A voltage function of a nonsinusoidal wave having a DC pulse portion and a ramp portion is generated. Generating the voltage function may include setting a slope of the ramp portion and setting a duration ratio of the ramp portion to a cycle of the voltage function in order to control an ion energy distribution generated at a surface of the substrate. A bias power of the nonsinusoidal wave is applied to the lower electrode.
    Type: Grant
    Filed: March 11, 2021
    Date of Patent: December 6, 2022
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Seung-Yoon Song, Chan-Hoon Park, Jong-Woo Sun, Jung-Mo Sung, Je-Woo Han, Jin-Young Park
  • Patent number: 11523490
    Abstract: The object of the present disclosure is to efficiently generate plasma. In the plasma device of the present disclosure, a dielectric barrier discharger and an arc discharger are included, but the arc discharger is provided downstream from the dielectric barrier discharger in a discharge space where a gas for generating plasma is supplied. Dielectric barrier discharge occurs at the dielectric barrier discharger, and arch discharge occurs at the arc discharger. As a result of the gas for generating plasma being activated in the dielectric barrier discharge, the aforementioned gas can be adequately converted to plasma in the arc discharger.
    Type: Grant
    Filed: March 20, 2018
    Date of Patent: December 6, 2022
    Assignee: FUJI CORPORATION
    Inventor: Takahiro Jindo
  • Patent number: 11510307
    Abstract: A plasma engine includes a plasma source that generates ions from molecular gas species received at a gas input where at least some of the ions generated are atomic species ions. An ion extractor is configured to extract ions from the plasma source with an electric field. A housing comprising a recombination region receives ions extracted from the ion extractor. At least some of the atomic species ions recombine into molecular species in the housing, thereby releasing energy for thrust.
    Type: Grant
    Filed: May 8, 2022
    Date of Patent: November 22, 2022
    Inventors: Nicholas V. Perricone, Kurt Rauschenbach, Matthew Partlow
  • Patent number: 11495486
    Abstract: Semiconductor processing tools are provided that include an upper support framework, a plurality of semiconductor processing chambers arranged along a first axis, a linear guide system fixedly supported by the upper support framework and extending along a second axis substantially parallel to the first axis, and a carriage. Each chamber has a base portion fixedly mounted relative to the upper support framework and a removable top cover with one or more hoisting features. The carriage includes a hoist arm configured to pivot about a vertical axis that is substantially perpendicular to the second axis, the carriage is configured to movably engage with the linear guide system and translate along the second axis relative to the linear guide system. The carriage and hoist arm are movable such that a hoist feature engagement interface of the hoist arm can be moved engage with hoisting features of any of the removable top covers.
    Type: Grant
    Filed: February 21, 2020
    Date of Patent: November 8, 2022
    Assignee: Lam Research Corporation
    Inventors: Paul Albert Avanzino, Jerrel K. Antolik, Daniel Arthur Brown, Jason Lee Treadwell
  • Patent number: 11486371
    Abstract: A Hall thruster includes an annular discharge region and an annular cathode concentric to the annular discharge region.
    Type: Grant
    Filed: December 19, 2017
    Date of Patent: November 1, 2022
    Assignee: AEROJET ROCKETDYNE, INC.
    Inventor: Justin Pucci
  • Patent number: 11479464
    Abstract: Systems and methods for generating nitric oxide are disclosed. A nitric oxide (NO) generation system includes at least one pair of electrodes configured to generate a product gas containing NO from a flow of a reactant gas; and a controller configured to regulate the amount of nitric oxide in the product gas produced by the at least one pair of electrodes by utilizing duty cycle values of plasma pulses selected from a plurality of discrete duty cycles to produce a target rate of NO production based on an average of discrete production rates associated with each of the plurality of discrete duty cycles.
    Type: Grant
    Filed: May 15, 2020
    Date of Patent: October 25, 2022
    Assignee: Third Pole, Inc.
    Inventors: Gregory W. Hall, Benjamin J. Apollonio, Ian J. Gillerman
  • Patent number: 11482395
    Abstract: Systems and methods for providing a heaterless hollow cathode for use in electric propulsion devices is presented. According to one aspect the cathode includes a thermionic emitter having a constricted upstream inlet compared to a downstream outlet of the emitter. The emitter is arranged downstream a hollow cathode tube. Constriction of the upstream inlet is provided by an inner cylindrical hollow space at an upstream region of the emitter having a diameter that is smaller compared to a diameter of an inner cylindrical hollow space at a downstream region of the emitter. A hollow transition region having a varying diameter connects the upstream region to the downstream region. According to another aspect, a ratio of the diameters of the two cylindrical hollow spaces reduces penetration of electric field, and therefore of electric discharge, into the upstream region of the emitter during operation.
    Type: Grant
    Filed: November 25, 2020
    Date of Patent: October 25, 2022
    Assignee: CALIFORNIA INSTITUTE OF TECHNOLOGY
    Inventors: Ryan W. Conversano, Dan M. Goebel, Giulia Becatti
  • Patent number: 11476810
    Abstract: A radio-frequency circuit includes: an amplifier; a matching circuit connected to an output side of the amplifier; and a power splitter connected to an output side of the matching circuit. The power splitter includes a differential inductor and a resistor element. The differential inductor includes an input node (ni), a first line, and a second line. The input node (ni) is connected to the matching circuit. The first line and the second line are respectively wound into coil form and connected to the input node (ni). The resistor element forms a connection between a node (n1) on an output side of the first line and a node (n2) on an output side of the second line. The first line and the second line are wound in opposite directions and have the same coil axis.
    Type: Grant
    Filed: September 8, 2020
    Date of Patent: October 18, 2022
    Assignee: MURATA MANUFACTURING CO., LTD.
    Inventor: Masamichi Tokuda
  • Patent number: 11469081
    Abstract: There is provided a plasma generating device that includes a first electrode connected to a high-frequency power supply, and a second electrode to be grounded, wherein the first electrode and the second electrode are alternately arranged such that a number of electrodes of the first electrode and the second electrode are in an odd number of three or more in total, and wherein the second electrode is used in common for two of the first electrode being respectively adjacent to the second electrode used in common.
    Type: Grant
    Filed: January 17, 2019
    Date of Patent: October 11, 2022
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventors: Akihiro Sato, Tsuyoshi Takeda, Yukitomo Hirochi
  • Patent number: 11469083
    Abstract: There is provided a substrate processing apparatus that includes a substrate support configured to support one or more substrates, a process chamber in which the one or more substrates are processed, a gas supplier configured to supply gas, and a plasma generator including a plurality of first rod-shaped electrodes connected to a high-frequency power supply; and a second rod-shaped electrode installed between two first rod-shaped electrodes is grounded; and a buffer structure configured to accommodate the plurality of first rod-shaped electrodes and the second rod-shaped electrode, and having a first wall surface on which a gas supply port that supplies gas into the process chamber is installed. Wherein the plasma generator is configured to convert gas into plasma by the plurality of first rod-shaped electrodes and the second rod-shaped electrode to supply the plasma-converted gas to the process chamber from the gas supply port.
    Type: Grant
    Filed: March 12, 2021
    Date of Patent: October 11, 2022
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventors: Akihiro Sato, Tsuyoshi Takeda, Yukitomo Hirochi
  • Patent number: 11461660
    Abstract: A system and method for monitoring a circuit for impending failure includes measuring changes to frequency-domain impedance with a pair of analog-to-digital converters connected to a conductor while powering up, with or without interposing a series sense resistor for measuring applied current. Changes to frequency-domain impedance or power delivery voltage ratio are identified via a neural network trained to identify frequency-domain impedance associated with normal system behavior and general system failure mode. The neural network may be further trained to produce a predictive probability of specific failure mode types.
    Type: Grant
    Filed: March 19, 2020
    Date of Patent: October 4, 2022
    Assignee: Rockwell Collins, Inc.
    Inventor: Orion Davies
  • Patent number: 11456160
    Abstract: In a plasma processing apparatus, a high frequency power source is electrically connected to a lower electrode of a supporting table through a power feeding unit. The power feeding unit is surrounded by a conductor pipe outside the chamber. An electrostatic chuck of the supporting table has therein a plurality of heaters. A filter device is provided between the heaters and a heater controller. The filter device includes a plurality of coil groups, each of the coil groups including two or more coils. In each of the coil groups, the two or more coils are arranged such that winding portions of the two or more coils extend in a spiral shape around a central axis and turns of the winding portions are arranged sequentially and repeatedly, and the coil groups are provided coaxially to the central axis to surround the conductor pipe directly below the chamber.
    Type: Grant
    Filed: March 22, 2019
    Date of Patent: September 27, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Naohiko Okunishi, Katsumi Sekiguchi, Ryuichi Yui
  • Patent number: 11448615
    Abstract: The invention relates to an ion transport device which is designed to transport ions by means of an electric field. The ion transport device has an ion transport channel in which an ion transport chamber is formed. In order to generate the electric field, the ion transport device has a plurality of field generating electrodes which are arranged one behind the other along the length of the ion transport channel in order to move ions through the ion transport chamber in a transport direction. The invention additionally relates to an ion mobility spectrometer and to a mass spectrometer.
    Type: Grant
    Filed: May 29, 2019
    Date of Patent: September 20, 2022
    Assignee: GOTTFRIED WILHELM LEIBNIZ UNIVERSITAET HANNOVER
    Inventors: Stefan Zimmermann, Ansgar Kirk, Alexander Bohnhorst
  • Patent number: 11450510
    Abstract: A generator produces output such as delivered power, voltage, current, forward power etc. that follows a prescribed pattern of output versus time where the pattern repeats with a repetition period by controlling sections of the pattern based on measurements taken one or more repetition periods in the past. A variable impedance match network may control the impedance presented to a radio frequency generator while the generator produces the output that follows the prescribed pattern of output versus time where the pattern repeats with a repetition period by controlling variable impedance elements in the match during sections of the pattern based on measurements taken one or more repetition periods in the past.
    Type: Grant
    Filed: December 6, 2020
    Date of Patent: September 20, 2022
    Assignee: Advanced Energy Industries, Inc.
    Inventor: Gideon Van Zyl
  • Patent number: 11373895
    Abstract: An etching method is performed using a plasma processing apparatus that includes a processing chamber equipped with a support stage that accommodates a substrate, a first annular member disposed around the substrate and at least a part of the first annular member is disposed in a space between a lower surface of an outer peripheral portion of the substrate and an upper surface of the support stage, and a second annular member disposed outside the first annular member. The etching method includes adjusting a dielectric constant in the space using the first annular member in accordance with consumption of the second annular member; and etching the substrate.
    Type: Grant
    Filed: June 30, 2020
    Date of Patent: June 28, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Fumiaki Ariyoshi, Masanori Asahara, Shunsuke Aizawa, Akihito Fushimi