Multifunctional Or Programmable (e.g., Universal, Etc.) Patents (Class 326/37)
  • Patent number: 11967953
    Abstract: A non-volatile Boolean logic circuit based on memristors and an operation method, which performs logic operations on the input logic value P and/or the input logic value Q. The logic circuit includes: a controller, a memristor M1, a memristor M2 and a resistor. The controller sets the memristor M2 to a high resistance state before performing the logic operation. When performing the logic operation, a voltage A is applied to the memristor M1, a voltage B is applied to the memristor M2, a voltage C is applied to the resistor. The resistance state of the memristor M2 is the result of the logic operation. When a logic operation is performed on the logic value P and the logic value Q or only on the logic value Q, the controller further sets the memristor M1 to the resistance state corresponding to the logic value Q before performing the logic operation.
    Type: Grant
    Filed: July 5, 2021
    Date of Patent: April 23, 2024
    Assignee: HUAZHONG UNIVERSITY OF SCIENCE AND TECHNOLOGY
    Inventors: Xingsheng Wang, Yujie Song, Qiwen Wu, Xiangshui Miao
  • Patent number: 11808798
    Abstract: A noise detection circuit includes a first transistor configured to receive a delayed version of a clock signal; a second transistor configured to receive a delayed version of a reference clock signal; and a latch circuit, coupled to the first transistor at a first node and coupled to the second transistor at a second node, and configured to latch logic states of voltage levels at the first and second nodes, respectively, based on whether a timing difference between transition edges of the clock signal and the reference clock signal exceeds a pre-defined timing offset threshold.
    Type: Grant
    Filed: October 24, 2022
    Date of Patent: November 7, 2023
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Tien-Chien Huang
  • Patent number: 11705169
    Abstract: A trim/test interface in a packaged integrated circuit device prevents high through-current between pins of the IC device and trim/test interface digital logic within the IC device using a floating-pin-tolerant always-on CMOS input buffer. The always-on buffer uses a coupling capacitor at its input to block signals at DC and a weak-latch feedback path to ensure that intermediate or floating inputs are provided through the buffer only at one of two digital levels (e.g., those provided by a ground pin GND and by a high supply voltage pin VDD). The described interfaces and methods provide for false-entry-free test mode activation for IC devices with a low pin count, where there are a limited number of pins to cover all test/trim functions, or in which only analog, no-connect, or failsafe pins are available for trim or test mode entry control or trim or test data input.
    Type: Grant
    Filed: November 30, 2021
    Date of Patent: July 18, 2023
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Rajat Chauhan, Divya Kaur, Rishav Gupta
  • Patent number: 11474740
    Abstract: Embodiments of the present disclosure relate to a memory system and a memory controller, in which data input/output terminals in different data input/output terminal groups corresponding to different channels may be arranged adjacent to each other, thereby preventing skew of a signal occurring during data input/output operations and interference between different signals and reducing the cost required for implementing the memory system.
    Type: Grant
    Filed: May 27, 2020
    Date of Patent: October 18, 2022
    Assignee: SK hynix Inc.
    Inventor: Woo Sick Choi
  • Patent number: 11437116
    Abstract: An apparatus may include a memory array, a test circuit coupled to the memory array, a counter circuit coupled to the test circuit and an input/output (I/O) circuit coupled to the counter circuit. During a test operation, the test circuit may receive blocks of data from the memory array and compare the data to detect errors in the blocks of data. The counter circuit may increment a count value in response to detection of an error by the test circuit, and the I/O circuit may provide the count value to an output. The test circuit may also provide test comparison data based on the received blocks of data, and the I/O circuit may provide one of the count value and the test comparison data to the output.
    Type: Grant
    Filed: April 17, 2020
    Date of Patent: September 6, 2022
    Assignee: Micron Technology, Inc.
    Inventors: Christian N. Mohr, Gregg D. Wolff, Christopher G. Wieduwilt, C. Omar Benitez, Dennis G. Montierth
  • Patent number: 11422807
    Abstract: An apparatus and method of operating an apparatus are provided. The apparatus is responsive to a bit-testing instruction which specifies a source vector register and an index to perform a bit-testing procedure on plural elements stored in the source vector register to generate plural result bits. The bit-testing procedure comprises, for each processed element of the plural elements, setting a respective result bit of the plural result bits in dependence on a value of a tested bit at a bit position in the processed element of the source vector register indicated by the index. This bit-testing instruction thus enables increased performance of program code which is required to perform multiple bit tests and can be suitably formulated into a vectorised form.
    Type: Grant
    Filed: June 27, 2018
    Date of Patent: August 23, 2022
    Assignee: ARM LIMITED
    Inventors: Grigorios Magklis, Nigel John Stephens
  • Patent number: 11416251
    Abstract: A data processing system utilizes non-volatile storage to store constant values. An instruction decoder decodes program instructions to generate control signals to control processing circuitry to perform processing operations which may include processing operations corresponding to constant-using program instructions. Such constant-using program instructions may include one or more operation specifying fields and one or more argument specifying fields which control the processing circuitry to generate an output value equal to that given by reading one or more constant values from the non-volatile storage, optionally modifying such a value, and then performing the processing operation upon the value, or the modified value, to generate an output value.
    Type: Grant
    Filed: November 16, 2017
    Date of Patent: August 16, 2022
    Assignee: Arm Limited
    Inventors: Sean Tristram LeGuay Ellis, Andrew James Booker
  • Patent number: 11409569
    Abstract: A data processing system being configured to select between different hardware resources for the running of an application configured for the sending and receiving of data over a network. The selection of hardware resources may be between resources on the network interface device, and hardware resources on the host. The selection of hardware resources may be between first and second hardware resources on the network interface device. An API is provided in the data processing system that responds to requests from the application irrespective of the hardware on which the application is executing.
    Type: Grant
    Filed: March 29, 2018
    Date of Patent: August 9, 2022
    Assignee: XILINX, INC.
    Inventors: Steven L. Pope, David J. Riddoch, Derek Roberts
  • Patent number: 11412617
    Abstract: An apparatus for interfacing with an RF/microwave subsystem is provided. The apparatus includes a printed circuit board that includes: a controller, and a connector constructed to provide control signals and power signals to a subsystem in accordance with instructions from the controller, and a mechanical interface constructed to provide a mechanical connection between the subsystem and the printed circuit board.
    Type: Grant
    Filed: April 23, 2020
    Date of Patent: August 9, 2022
    Assignee: The Government of the United States of America, as represented by the Secretary of the Navy
    Inventors: Thomas Petelik, Steven Bode, Matthew Anderson
  • Patent number: 11360143
    Abstract: A testing tool includes a clock generation circuit generating a test clock and outputting the test clock via a test clock output pad, data processing circuitry clocked by the test clock, and data output circuitry receiving data output from the data processing circuitry and outputting the data via an input/output (IO) pad, the data output circuitry being clocked by the test clock. The testing tool also includes a programmable delay circuit generating a delayed version of the test clock, and data input circuitry receiving data input via the IO pad, the data input circuitry clocked by the delayed version of the test clock. The delayed version of the test clock is delayed to compensate for delay between transmission of a pulse of the test clock via the test clock output pad to an external computer and receipt of the data input from the external computer via the IO pad.
    Type: Grant
    Filed: October 29, 2020
    Date of Patent: June 14, 2022
    Assignees: STMicroelectronics International N.V., STMicroelectronics Application GmbH, STMicroelectronics S.r.l.
    Inventors: Avneep Kumar Goyal, Deepak Baranwal, Thomas Szurmant, Nicolas Bernard Grossier
  • Patent number: 11323120
    Abstract: An integrated circuit comprising a field programmable gate array including a plurality of logic tiles, wherein, during operation, each logic tile is configurable to connect with at least one other logic tile, and wherein each logic tile includes: (1) a normal operating mode and test mode, (2) an interconnect network including a plurality of multiplexers, wherein during operation, the interconnect network of each logic tile is configurable to connect with the interconnect network of at least one other logic tile in the normal operating mode and (3) bitcells to store data. The FPGA also includes control circuitry, electrically connected to each logic tile, to configure each logic tile in a test mode and enable concurrently writing configuration test data into each logic tile of the plurality of logic tiles when the FPGA is in the test mode.
    Type: Grant
    Filed: January 4, 2021
    Date of Patent: May 3, 2022
    Assignee: Flex Logix Technologies, Inc.
    Inventor: Cheng C. Wang
  • Patent number: 11308573
    Abstract: A device includes a processor and memory. The memory has stored thereon a plurality of executable instructions. The executable instructions, when executed by the processor, cause the processor to: receive an access request affecting an operation of the device; facilitate encryption and/or authentication across an interface coupled to the device, wherein the interface is configured to secure the access request; and execute the access request.
    Type: Grant
    Filed: March 24, 2016
    Date of Patent: April 19, 2022
    Assignee: ARM Norway AS
    Inventors: Jorn Nystad, Edvard Sorgard, Borgar Ljosland, Mario Blazevic
  • Patent number: 11301265
    Abstract: An information processing apparatus includes a control unit. The control unit includes a processor and a memory. The processor is configured to set a function and another function in a function management table by respectively defining a plurality of first configurations utilized by the function to perform a process and a plurality of second configurations utilized by the other function to perform another process. Each of the plurality of first and second configurations includes instrument or software. The processor is configured to control a notification of a warning in a case where the plurality of first and second configurations includes a same configuration that is to be utilized by the function to perform the process and by the other function to perform the other process, and in a case where the process and the other process contradict with each other.
    Type: Grant
    Filed: July 30, 2018
    Date of Patent: April 12, 2022
    Assignee: FUJIFILM Business Innovation Corp.
    Inventor: Kengo Tokuchi
  • Patent number: 11228313
    Abstract: A signal transmission circuit is provided. A tri-state logic circuit includes an enabling terminal, an input terminal and an output terminal, and is conducted and unconducted when the enabling terminal is at a high and a low state respectively. A pull-up circuit pulls up a voltage level of the output terminal. A first and a second multiplexers respectively output an enabling signal and an output signal to the enabling terminal and the input terminal according to a first status of a selection signal and respectively output a high state signal according to a second status of the selection signal. A selection circuit generates the selection signal having the first status when the voltage level is not larger than a first threshold value, having the second status after the voltage level is larger than the first threshold value and having the first status afterwards.
    Type: Grant
    Filed: May 20, 2020
    Date of Patent: January 18, 2022
    Assignee: REALTEK SEMICONDUCTOR CORPORATION
    Inventors: Wen-Yi Mao, Li-Li Tan
  • Patent number: 11204384
    Abstract: In some embodiments, a system and/or method may test logic blocks for an integrated circuit. To alleviate problems associated with current methods of integrated circuit testing, a system may include a power switch control signal on a different voltage rail. In some embodiments, a Test VDD may be used to isolate the power switches from the rest of the logic cells in an integrated circuit. During testing, each logic block may be powered individually using the Test VDD to control the power switches to the logic blocks. When a logic block short is identified, the nonviable logic block may be isolated to such that the nonviable logic block is not used during the future and only viable logic blocks are used in the integrated circuit. This allows for use of logic within an integrated circuit that might otherwise have been discarded or destroyed because of one or more shorts.
    Type: Grant
    Filed: September 21, 2018
    Date of Patent: December 21, 2021
    Assignee: Apple Inc.
    Inventor: Edgardo F. Klass
  • Patent number: 11159165
    Abstract: A multi-chip package includes a field-programmable-gate-array (FPGA) integrated-circuit (IC) chip configured to perform a logic function based on a truth table, wherein the field-programmable-gate-array (FPGA) integrated-circuit (IC) chip comprises multiple non-volatile memory cells therein configured to store multiple resulting values of the truth table, and a programmable logic block therein configured to select, in accordance with one of the combinations of its inputs, one from the resulting values into its output; and a memory chip coupling to the field-programmable-gate-array (FPGA) integrated-circuit (IC) chip, wherein a data bit width between the field-programmable-gate-array (FPGA) integrated-circuit (IC) chip and the memory chip is greater than or equal to 64.
    Type: Grant
    Filed: October 9, 2019
    Date of Patent: October 26, 2021
    Assignee: iCometrue Company Ltd.
    Inventors: Mou-Shiung Lin, Jin-Yuan Lee
  • Patent number: 11144240
    Abstract: Initialization is performed based on the commands received at the command queue. To perform initialization, a bank touch count list that includes a list of banks being accessed by the commands and a bank touch count for each of the banks in the list is updated. The bank touch count identifies the number of commands accessing each of the banks. The bank touch count list is updated by assigning a bank priority rank to each of the banks based on their bank touch count, respectively. Once initialized, the commands in the command queue are scheduled by inserting each of the commands into priority queues based on the bank touch count list.
    Type: Grant
    Filed: August 24, 2018
    Date of Patent: October 12, 2021
    Assignee: Micron Technology, Inc.
    Inventors: Patrick A. La Fratta, Robert Walker
  • Patent number: 11127462
    Abstract: A multi-chip package with reduced calibration time and an impedance control (ZQ) calibration method thereof are provided. A master chip of the multi-chip package performs a first ZQ calibration operation by using a ZQ resistor, and then, the other slave chips simultaneously perform second ZQ calibration operations with respect to data input/output (DQ) pads of the slave chips by using a termination resistance value of a DQ pad of the master chip on the basis of a one-to-one correspondence relationship with the DQ pad of the master chip. The multi-chip package completes ZQ calibration by performing two ZQ calibration operations, thereby decreasing a ZQ calibration time.
    Type: Grant
    Filed: March 30, 2020
    Date of Patent: September 21, 2021
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Junha Lee, Seonkyoo Lee, Jeongdon Ihm, Byunghoon Jeong
  • Patent number: 11114144
    Abstract: An apparatus is provided which comprises: a first paramagnet; a stack of layers, a portion of which is adjacent to the first paramagnet, wherein the stack of layers is to provide an inverse Rashba-Edelstein effect; a second paramagnet; a magnetoelectric layer adjacent to the second paramagnet; and a conductor coupled to at least a portion of the stack of layers and the magnetoelectric layer.
    Type: Grant
    Filed: December 23, 2016
    Date of Patent: September 7, 2021
    Assignee: Intel Corporation
    Inventors: Sasikanth Manipatruni, Dmitri E. Nikonov, Ian A. Young
  • Patent number: 11061455
    Abstract: A system comprises an integrated circuit die substrate; volatile memory electrically coupled to the integrated circuit die substrate; a first integrated circuit die element electrically coupled to the integrated circuit die substrate, the first integrated circuit die element comprising a first field programmable gate array (FPGA), and the first integrated circuit die element disposed adjacent to the volatile memory; a battery charger operable to receive power from a main power supply, the main power supply having an on state and an off state, wherein the main power supply is supplying power in the on state and not supplying power in the off state; and a battery module disposed on a top portion of the first integrated circuit die element, the battery module operable to receive power from the battery charger, and the battery module operable to supply power to the volatile memory at least when the main power supply is in the off state.
    Type: Grant
    Filed: September 22, 2020
    Date of Patent: July 13, 2021
    Assignee: Arbor Company, LLLP
    Inventors: Darrel James Guzy, Wei-Ti Liu
  • Patent number: 11036887
    Abstract: Content with in a memory device (e.g., a DRAM) may be secured in a customizable manner. Data can be secured and the memory device performance by be dynamically defined. In some examples, setting a data security level for a group of memory cells of a memory device may be based, at least in part, on a security mode bit pattern (e.g., a flag, flags, or indicator) in metadata read from or written to the memory device. Some examples include comparing a first signature (e.g., a digital signature) in metadata to a second value (e.g., an expected digital signature) to validate the first value in the metadata. The first value and the second value can be based, at least in part, on the data security level. Some examples include performing a data transfer operation in response to validation of the first and/or second values.
    Type: Grant
    Filed: December 11, 2018
    Date of Patent: June 15, 2021
    Assignee: Micron Technology, Inc.
    Inventors: Alberto Troia, Antonino Mondello
  • Patent number: 11002758
    Abstract: Provided is a composite metal-wide-bandgap semiconductor tip for scanning tunneling microscopy and/or scanning, tunneling lithography, a method of forming, and a method for using the composite metal-wide-bandgap semiconductor tip.
    Type: Grant
    Filed: April 24, 2017
    Date of Patent: May 11, 2021
    Inventors: Steven R. J. Brueck, Daniel Feezell, John Randall, Tito Busani, Joshua B. Ballard, Mahmoud Behzadirad, Ashwin Krishnan Rishinaramangalam
  • Patent number: 11003460
    Abstract: A control method of a memory storage device is provided and includes: detecting a first signal stream controlled by a host system; executing a boot code according to the first signal stream and entering a boot code mode; and receiving a command from the host system in the boot code mode and not executing a firmware code stored in a rewritable non-volatile memory module in the memory storage device. According, operational flexibility of the memory storage device may be enhanced.
    Type: Grant
    Filed: August 29, 2017
    Date of Patent: May 11, 2021
    Assignee: PHISON ELECTRONICS CORP.
    Inventors: Ming-Fu Lai, Ying-Fu Chao, Chao-Ta Huang, Chun-Yu Ling
  • Patent number: 10992299
    Abstract: A programmable integrated circuit device able to be selectively programmed to perform one or more logic functions includes multiple configurable logic blocks (“LBs”), routing fabric, and a nonvolatile memory (“NVM”). While the configurable LBs are able to be selectively programmed to perform one or more logic functions, the routing fabric selectively routes information between the configurable LBs and input/output ports based on a routing configuration signals. The NVM, such as magnetoresistive random access memory (“MRAM”), phase-change memory, or ferroelectric RAM (“FeRAM”), is flexibly organized to contain a configuration NVM storage and a user NVM storage, wherein the user NVM storage is a word addressable memory capable of facilitating random memory access.
    Type: Grant
    Filed: March 9, 2020
    Date of Patent: April 27, 2021
    Assignee: GOWIN Semiconductor Corporation
    Inventor: Jinghui Zhu
  • Patent number: 10963170
    Abstract: Embodiments herein describe a reconfigurable integrated circuit (IC) where data can be retained in memory when performing a partial reconfiguration. Partial reconfiguration includes reconfiguring programmable logic in the IC while certain functions of the IC remain operational or active. In one embodiment, the reconfigurable IC includes control logic for saving or retaining data in the IC during a partial reconfiguration. That is, rather than clearing the memory elements, the user can specify that the memory blocks containing certain data should be retained while the other memory blocks can be cleared. In this manner, the data can be retained in the IC during a partial reconfiguration which saves time, power, and cost. Once partial reconfiguration is complete, the newly configured programmable logic can retrieve and process the saved data from the on-chip memory.
    Type: Grant
    Filed: January 30, 2019
    Date of Patent: March 30, 2021
    Assignee: XILINX, INC.
    Inventors: Subodh Kumar, David P. Schultz, Weiguang Lu, Michelle Zeng
  • Patent number: 10896704
    Abstract: An apparatus includes: a master die; one or more slave dies; a ZQ resister between a first node and a second node coupled to a voltage terminal; a ZQ pad coupled to each of the first node of the ZQ resister, the master die and the one or more slave dies; and a calibration channel electrically coupling the master die and the one or more slave dies, the calibration channel configured to communicate signals between the master die and the one or more slave dies for coordinating access to the ZQ pad across the master die and the one or more slave dies.
    Type: Grant
    Filed: November 22, 2019
    Date of Patent: January 19, 2021
    Assignee: Micron Technology, Inc.
    Inventors: Jason M. Johnson, Jung-Hwa Choi
  • Patent number: 10871969
    Abstract: A method for switching basic input/output system (BIOS) interface, adapted to a basic input/output system (BIOS) having a complex module and a simplified module and executed by an electronic device, comprising entering a BIOS and displaying the simplified module on the BIOS interface, determining by the electronic device whether a hotkey is triggered, determining by the electronic device whether the hotkey is a first hotkey corresponding to the complex module when the hotkey is triggered, and hiding the simplified module and displaying the complex module on the BIOS interface when the hotkey is the first hotkey.
    Type: Grant
    Filed: September 9, 2019
    Date of Patent: December 22, 2020
    Assignees: INVENTEC (PUDONG) TECHNOLOGY CORPORATION, INVENTEC CORPORATION
    Inventors: Jin Chen, Lai Kong, Zhong-Ying Qu
  • Patent number: 10854714
    Abstract: Provided herein are tapered nanowires that comprise germanium and gallium, as well as methods of forming the same. The described nanowires may also include one or more sections of a second semiconductor material. Methods of the disclosure may include vapor-liquid-solid epitaxy with a gallium catalyst. The described methods may also include depositing a gallium seed on a surface of a substrate by charging an area of the substrate using an electron beam, and directing a gallium ion beam across the surface of the substrate.
    Type: Grant
    Filed: April 20, 2018
    Date of Patent: December 1, 2020
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Martin Christopher Holland, Blandine Duriez
  • Patent number: 10782759
    Abstract: A system comprises an integrated circuit die substrate; volatile memory electrically coupled to the integrated circuit die substrate; a first integrated circuit die element electrically coupled to the integrated circuit die substrate, the first integrated circuit die element comprising a first field programmable gate array (FPGA), and the first integrated circuit die element disposed adjacent to the volatile memory; a battery charger operable to receive power from a main power supply, the main power supply having an on state and an off state, wherein the main power supply is supplying power in the on state and not supplying power in the off state; and a battery module disposed on a top portion of the first integrated circuit die element, the battery module operable to receive power from the battery charger, and the battery module operable to supply power to the volatile memory at least when the main power supply is in the off state.
    Type: Grant
    Filed: March 5, 2020
    Date of Patent: September 22, 2020
    Assignee: Arbor Company, LLLP
    Inventors: Darrel James Guzy, Wei-Ti Liu
  • Patent number: 10775836
    Abstract: A method and system for cycle accurate data transfer between skewed source synchronous clocks is envisaged. The procedure starts through reset. On reset, both the write and read address registers are set to point to location 0. Source clock is stopped to disable active clock edges to both write and read address registers during the reset procedure. The source clock is subsequently started to deliver active edges w both write and read address registers. On every active source clock edge, data is pushed into the data register based on the location pointed by write address resister. On every skewed active clock edge, data is read from the data register based on the address pointed by read address register. Due to the delayed nature of clock reaching the read address register, write address register increments first and stores data into the data register.
    Type: Grant
    Filed: June 14, 2016
    Date of Patent: September 15, 2020
    Assignee: Synopsys, Inc.
    Inventors: Gyan Prakash, Nidhir Kumar
  • Patent number: 10747538
    Abstract: An Ethernet device comprises a plurality of Management Data Input/Output (MDIO) Manageable Device (MMD) registers storing Ethernet register field definitions that operate a management interface to one or more MMD devices. An MDIO controller, communicatively coupled to the plurality of MMD registers can control communication via the management interface to the one or more MMD devices based on a mapping of the set of Ethernet register field definitions to the plurality of MMD registers, and dynamically modify the mapping of the set of Ethernet register field definitions to the plurality of MMD registers.
    Type: Grant
    Filed: December 21, 2018
    Date of Patent: August 18, 2020
    Assignee: Intel Corporation
    Inventors: Eric Mouchel La Fosse, Paul Louis Chazhoor, Chee Kiang Goh, Hak Keong Sim
  • Patent number: 10720191
    Abstract: A calibration device includes a first comparator that outputs a first result of comparing a level of a first voltage of a first node and a level of a reference voltage, a second comparator that outputs a second result of comparing the level of the first voltage and a level of a second voltage of a second node, and a control signal generator that outputs a first signal for adjusting a first resistance value of a first resistor circuit based on the first result and to output a second signal for adjusting a second resistance value of a second resistor circuit based on the second result. The first node is between the first resistor circuit and a reference resistor, and the second node is between the second resistor circuit and a third resistor circuit which is adjusted to have the same resistance value as the first resistance value.
    Type: Grant
    Filed: November 7, 2018
    Date of Patent: July 21, 2020
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Kihwan Seong
  • Patent number: 10657291
    Abstract: An integrated circuit includes a control circuit and a one-time programmable circuit. The control circuit determines if the one-time programmable circuit is programmed in response to an attempt to access a mode of the integrated circuit after the integrated circuit powers up. The control circuit generates a signal to indicate to a user of the integrated circuit that the mode of the integrated circuit has been previously accessed if the control circuit determines that the one-time programmable circuit has been programmed to indicate a previous access to the mode of the integrated circuit.
    Type: Grant
    Filed: September 24, 2018
    Date of Patent: May 19, 2020
    Assignee: Altera Corporation
    Inventors: Bruce Pedersen, Ting Lu, Brian Wong, Alok Doshi, Yun Sum Wong
  • Patent number: 10649924
    Abstract: A network overlay system can include a data transport module having a network interface and a translation module configured to generate offload processing addresses for the network packet data; a system bus; at least one host processor connected to the system bus; and at least one offload processor module coupled to the system bus and configured to receive network packet data associated. Offload processor modules include processing circuits associated with at least one of the offload processing addresses that are configured to encapsulate the network packet data for transport on a logical network or decapsulate the network packet data received from the logical network. The offload processing circuits encapsulate or decapsulate network packet data independent of any host processor.
    Type: Grant
    Filed: December 30, 2016
    Date of Patent: May 12, 2020
    Inventors: Parin Bhadrik Dalal, Stephen Paul Belair
  • Patent number: 10645553
    Abstract: The present disclosure relates to a sensor network, Machine Type Communication (MTC), Machine-to-Machine (M2M) communication, and technology for Internet of Things (IoT). The present disclosure may be applied to intelligent services based on the above technologies, such as smart home, smart building, smart city, smart car, connected car, health care, digital education, smart retail, security and safety services. A method and apparatus for processing a signal in a mobile device are provided. The method includes classifying signals transmitted and received between devices according to at least two predetermined rates, and transmitting and receiving the classified signals in connection lines supporting the at least two rates, respectively.
    Type: Grant
    Filed: December 31, 2015
    Date of Patent: May 5, 2020
    Assignee: Samsung Electronics Co., Ltd
    Inventors: Dae Young Lee, Jae-Hwa Kim, Il-Suk Ko, Myung-Ha Kuh, Jae-Hyon Kim, Won-Ki Kim, Hyun-Moo Kim, Seung-Pyo Hong
  • Patent number: 10622982
    Abstract: A method and apparatus for dynamically monitoring, measuring, and adjusting a clock duty cycle of an operating storage device is disclosed. A storage device includes a measuring circuit comprising a plurality of flip flop registers coupled to a first input line, with each flip flop register having a first input and a second input. One or more delay taps are coupled to each flip flop register, and are disposed on a second input line. While the device operates, a clock signal is input directly into the first input of each flip flop register via the first input line. Simultaneously, the clock signal is input into the second input of each flip flop register through the one or more delay taps via the second input line. The flip flop registers are then read to determine the clock duty cycle of the device, and the clock frequency is adjusted as needed.
    Type: Grant
    Filed: January 10, 2019
    Date of Patent: April 14, 2020
    Assignee: WESTERN DIGITAL TECHNOLOGIES, INC.
    Inventors: Shlomo Naidorf, David C. Brief, Yuval Grossman
  • Patent number: 10523210
    Abstract: A multi-chip package includes a field-programmable-gate-array (FPGA) integrated-circuit (IC) chip configured to perform a logic function based on a truth table, wherein the field-programmable-gate-array (FPGA) integrated-circuit (IC) chip comprises multiple non-volatile memory cells therein configured to store multiple resulting values of the truth table, and a programmable logic block therein configured to select, in accordance with one of the combinations of its inputs, one from the resulting values into its output; and a memory chip coupling to the field-programmable-gate-array (FPGA) integrated-circuit (IC) chip, wherein a data bit width between the field-programmable-gate-array (FPGA) integrated-circuit (IC) chip and the memory chip is greater than or equal to 64.
    Type: Grant
    Filed: January 30, 2019
    Date of Patent: December 31, 2019
    Assignee: iCometrue Company Ltd.
    Inventors: Mou-Shiung Lin, Jin-Yuan Lee
  • Patent number: 10489116
    Abstract: An integrated circuit may have specialized processing blocks that are configurable to operate as arithmetic operators that may implement amongst others multiplication, addition, sum-of-product, and multiply-accumulation operations in a first mode. In a second mode, the specialized processing blocks may operate as multiplexers and several specialized processing blocks may be cascaded to implement wider multiplexing functions. In a third mode, the specialized processing blocks may operate as register pipelines.
    Type: Grant
    Filed: July 9, 2014
    Date of Patent: November 26, 2019
    Assignee: Altera Corporation
    Inventor: Benjamin Esposito
  • Patent number: 10483979
    Abstract: A multi-chip package includes a field-programmable-gate-array (FPGA) integrated-circuit (IC) chip configured to perform a logic function based on a truth table, wherein the field-programmable-gate-array (FPGA) integrated-circuit (IC) chip comprises multiple non-volatile memory cells therein configured to store multiple resulting values of the truth table, and a programmable logic block therein configured to select, in accordance with one of the combinations of its inputs, one from the resulting values into its output; and a memory chip coupling to the field-programmable-gate-array (FPGA) integrated-circuit (IC) chip, wherein a data bit width between the field-programmable-gate-array (FPGA) integrated-circuit (IC) chip and the memory chip is greater than or equal to 64.
    Type: Grant
    Filed: January 30, 2019
    Date of Patent: November 19, 2019
    Assignee: iCometrue Company Ltd.
    Inventors: Mou-Shiung Lin, Jin-Yuan Lee
  • Patent number: 10429868
    Abstract: A wide-tuning range low output impedance flip voltage follower (FVF) low dropout regulator (LDO) for large capacitor switching loads is disclosed. In some implementations, a touch sensing controller driver includes the LDO, which has an operational amplifier and a FVF. The FVF can have a gain device, a source follower device, and an adaptive level shifter coupled between a drain of the source follower device and a gate of the gain device.
    Type: Grant
    Filed: October 29, 2018
    Date of Patent: October 1, 2019
    Assignee: QUALCOMM Incorporated
    Inventors: Mahmoud Mohamed Rashad Ibrahim Elhebeary, Sameer Wadhwa
  • Patent number: 10346274
    Abstract: An apparatus and method associated with testing are disclosed, where a coupling between a device under test and at least one further device may be emulated. The coupling may be a bus.
    Type: Grant
    Filed: February 27, 2014
    Date of Patent: July 9, 2019
    Assignee: Infineon Technologies Austria AG
    Inventors: Dinh Quoc Thang Nguyen, Andrei Daniel Basa, Dirk Hammerschmidt
  • Patent number: 10305799
    Abstract: Presented herein are techniques for performing packet forwarding or routing using a pipeline of a plurality of tiles. A method includes receiving a packet, parsing the packet to generate a vector, passing the vector to a first tile dedicated to a first type of lookup, performing a lookup in the first tile, storing a result of the first type of lookup in the vector to obtain a first updated vector, passing the first updated vector to a second tile dedicated to a second type of lookup, performing a lookup in the second tile, storing a result of the second type of lookup in the vector to obtain a second updated vector, and transmitting the packet from the network routing device via an output port thereof selected based on the second updated vector.
    Type: Grant
    Filed: August 17, 2016
    Date of Patent: May 28, 2019
    Assignee: Cisco Technology, Inc.
    Inventors: Sarang Dharmapurikar, Kit Chiu, Ganlin Wu, Alexandru Seibulescu, Francisco Matus, Wanli Wu
  • Patent number: 10256821
    Abstract: A method and circuitry that enables an input/output pin (I/O) on a System on a Chip to function either as an analog or as a digital input/output without compromising the overall performance of the system, thus giving the automated test equipment full flexibility to maximize parallel testing for both analog and digital modules.
    Type: Grant
    Filed: February 21, 2017
    Date of Patent: April 9, 2019
    Assignee: Texas Instruments Incorporated
    Inventors: Venkateswar Reddy Kowkutla, Erkan Bilhan, Venkateswara Reddy Pothireddy
  • Patent number: 10224930
    Abstract: A method for detecting the topology of electrical wiring between at least two field-programmable gate arrays (FPGAs) includes implementing a first receive register on a second interface pin; implementing a first send register on a first driver; activating the first driver via a first activation signal; emitting, by the first driver, a first signal, wherein the first signal is defined by the first send register; reading out, by a first receive register, whether the first signal is received at the second interface pin; and allocating the second interface pin to the first interface pin if the first signal from the first driver is received at the second interface pin.
    Type: Grant
    Filed: April 27, 2018
    Date of Patent: March 5, 2019
    Assignee: DSPACE DIGITAL SIGNAL PROCESSING AND CONTROL ENGINEERING GMBH
    Inventors: Dominik Lubeley, Marc Schlenger, Heiko Kalte
  • Patent number: 10200038
    Abstract: Exemplary embodiments of the present disclosure are directed to a bootstrapping module and logic circuits utilizing the bootstrapping module to compensate for a weak high condition. The bootstrapping module can be implemented using transistors have a single channel type that is the same as the channel type of transistors utilized in the logic circuits such that a truly unipolar circuit can be realized while addressing the weak high problem of such unipolar circuits.
    Type: Grant
    Filed: May 29, 2015
    Date of Patent: February 5, 2019
    Assignee: Yale University
    Inventors: Xaio Sun, Tso-Ping Ma
  • Patent number: 10073752
    Abstract: The present invention is a pad for connecting a host device to a slave device through a slave adapter. The host may provide services to the slave, including power and data connections. Pins in the pad magnetically align the slave adapter. The host and slave may collaborate on which pins are assigned to connections. The system handles various usage modifications including, for example, dislocation of the slave adapter, and changes in pin assignments.
    Type: Grant
    Filed: January 13, 2016
    Date of Patent: September 11, 2018
    Assignee: BBY SOLUTIONS, INC.
    Inventor: Chris McWethy
  • Patent number: 10074053
    Abstract: An aspect of the present disclosure provides a hardware element in a Network on Chip (NoC), wherein the hardware element includes a clock gating circuit configures one or more neighboring hardware elements to activate before receiving new incoming data and to sleep after a defined number of cycles, wherein the defined number of cycles can be counted from a cycle having non-receipt of incoming data and/or having a clearance of all data within an input queue of a source hardware element.
    Type: Grant
    Filed: December 21, 2016
    Date of Patent: September 11, 2018
    Assignee: NetSpeed Systems
    Inventors: Sailesh Kumar, Sandip Das, Poonacha Kongetira
  • Patent number: 10069497
    Abstract: A circuit for implementing a scan chain in programmable resources of an integrated circuit is described. The circuit comprises a programmable element configured to receive an input signal and generate an output signal based upon the input signal; a selection circuit configured to receive the output signal generated by the programmable element at a first input and to receive a scan chain input signal at a second input, wherein the selection circuit generates a selected output signal in response to a selection circuit control signal; and a register configured to receive the selected output signal of the selection circuit.
    Type: Grant
    Filed: June 23, 2016
    Date of Patent: September 4, 2018
    Assignee: XILINX, INC.
    Inventors: Benjamin S. Devlin, Rafael C. Camarota
  • Patent number: 10062731
    Abstract: An apparatus including a spin to charge conversion node; and a charge to spin conversion node, wherein an input to the spin to charge conversion node produces an output at the charge to spin conversion node. An apparatus including a magnet including an input node and output node, the input node including a capacitor operable to generate magnetic response in the magnet and the output node including at least one spin to charge conversion material. A method including injecting a spin current from a first magnet; converting the spin current into a charge current operable to produce a magnetoelectric interaction with a second magnet; and changing a direction of magnetization of the second magnet in response to the magnetoelectric interaction. A method including injecting a spin current from an input node of a magnet; and converting the spin current into a charge current at an output node of the magnet.
    Type: Grant
    Filed: December 26, 2014
    Date of Patent: August 28, 2018
    Assignee: Intel Corporation
    Inventors: Sasikanth Manipatruni, Dmitri E. Nikonov, Ian A. Young
  • Patent number: 10044344
    Abstract: Systems and methods for a low hold-time sequential input stage provide circuitry that includes a first latch element receiving a first input. The first latch element is connected to a first two-input multiplexer. The circuitry further includes a second latch element receiving a second input. The second latch element is connected to the first two-input multiplexer. The first input and the second input originate from different input cells of an input column that receive a same source signal.
    Type: Grant
    Filed: October 30, 2017
    Date of Patent: August 7, 2018
    Assignee: Altera Corporation
    Inventors: Dana How, Herman Henry Schmit