Focus Or Magnification Control Patents (Class 355/55)
  • Patent number: 11934105
    Abstract: A catoptric system having a reference axis and first, second, and third reflectors. The first reflector contains a pattern-source carrying a substantially one-dimensional pattern. A combination of the second and third reflectors is configured to form an optical image of the pattern, with a demagnification coefficient N>1 in extreme UV light, and with only two beams of light that have originated at the first reflector as a result of irradiation of the first reflector with light incident upon it. An exposure apparatus employing the catoptric system and method of device manufacturing with the use of the exposure apparatus.
    Type: Grant
    Filed: October 17, 2019
    Date of Patent: March 19, 2024
    Assignee: Nikon Corporation
    Inventors: Daniel Gene Smith, David M. Williamson
  • Patent number: 11906770
    Abstract: A monolithic optical retarder formed from a monolithic prism may include an input face for receiving a light beam, an output face aligned with an optical axis of the light beam prior to entering the input face, and three or more reflection faces. The three or more reflection faces may be oriented to provide an optical path for the light beam from the input face to the output face via reflection by the three or more reflection faces, where the monolithic optical retarder imparts a selected optical retardation on the light beam based on total internal reflection on at least one of the reflection faces. Further, the input face, the output face, and the three or more reflection faces may be oriented such that an optical axis of the light beam exiting the output face is equal to the optical axis of the light beam entering the input face.
    Type: Grant
    Filed: December 2, 2021
    Date of Patent: February 20, 2024
    Assignee: KLA Corporal
    Inventors: Shankar Krishnan, David Y. Wang
  • Patent number: 11899371
    Abstract: A catoptric system having a reference axis and first, second, and third reflectors. The first reflector contains a pattern-source carrying a substantially one-dimensional pattern. A combination of the second and third reflectors is configured to form an optical image of the pattern, with a demagnification coefficient N>1 in extreme UV light, and with only two beams of light that have originated at the first reflector as a result of irradiation of the first reflector with light incident upon it. An exposure apparatus employing the catoptric system and method of device manufacturing with the use of the exposure apparatus.
    Type: Grant
    Filed: October 17, 2019
    Date of Patent: February 13, 2024
    Assignee: Nikon Corporation
    Inventors: Daniel Gene Smith, David M. Williamson
  • Patent number: 11840102
    Abstract: The present invention relates to a method for surface-treating a part (2), comprising: a measurement step, during which movement means (3), to which the part (2) is secured, are moved and a set of instantaneous velocities, at the surface (1) of the part (2), is determined by means of a measurement sensor (9), a signal processing step, during which a microcontroller (8) determines, from the data representative of the set of instantaneous velocities, a pulse train signal (S) representative of a set of frequencies of ejection of a substance (13) to be deposited, a deposition step, during which the microcontroller (8) transmits the pulse train signal (S) to the deposition means (6) in order to eject the substance (13) according to the pulse train signal (S).
    Type: Grant
    Filed: March 13, 2019
    Date of Patent: December 12, 2023
    Assignees: ROBO, SMRC AUTOMOTIVE HOLDINGS NETHERLANDS B.V.
    Inventors: Michel Demarchi, Jérome Boniface
  • Patent number: 11809089
    Abstract: A feedback control device that takes information regarding a control deviation between a measured value and a desired value of a controlled object as input, and outputs a manipulated variable for the controlled object, includes: a first control unit that takes information regarding the control deviation as input, and outputs a manipulated variable for the controlled object; a second control unit that takes information regarding the control deviation as input, and that includes a learning control unit in which a parameter for outputting a manipulated variable for the controlled object is determined by machine learning; and an adder that adds a first manipulated variable output from the first control unit and a second manipulated variable output from the second control unit. A manipulated variable from the adder is output to the controlled object, and the second control unit includes a limiter that limits the second manipulated variable.
    Type: Grant
    Filed: August 16, 2022
    Date of Patent: November 7, 2023
    Assignee: Canon Kabushiki Kaisha
    Inventors: Tosiya Asano, Kota Nakano
  • Patent number: 11520235
    Abstract: A maskless, extreme ultraviolet (EUV) lithography scanner uses an array of microlenses, such as binary-optic, zone-plate lenses, to focus EUV radiation onto an array of focus spots (e.g. about 2 million spots), which are imaged through projection optics (e.g., two EUV mirrors) onto a writing surface (e.g., at 6× reduction, numerical aperture 0.55). The surface is scanned while the spots are modulated to form a high-resolution, digitally synthesized exposure image. The projection system includes a diffractive mirror, which operates in combination with the microlenses to achieve point imaging performance substantially free of geometric and chromatic aberration. Similarly, a holographic EUV lithography stepper can use a diffractive photomask in conjunction with a diffractive projection mirror to achieve substantially aberration-free, full-field imaging performance for high-throughput, mask-projection lithography. Maskless and holographic EUV lithography can both be implemented at the industry-standard 13.
    Type: Grant
    Filed: October 3, 2020
    Date of Patent: December 6, 2022
    Inventor: Kenneth Carlisle Johnson
  • Patent number: 11307507
    Abstract: The present invention provides a method to obtain a height map of a substrate having alignment marks, the method comprising the steps: determining a height of one or more locations or areas of the substrate, and determining the height map of the substrate on the basis of the determined height of the one or more locations or areas of the substrate and a shape model of the substrate.
    Type: Grant
    Filed: June 5, 2018
    Date of Patent: April 19, 2022
    Assignee: ASML Netherlands B.V.
    Inventors: Bram Van Hoof, Arjan Hölscher, Alex Pascal Ten Brink, Petrus Franciscus Van Gils
  • Patent number: 11293880
    Abstract: An inspection system and a method of using the same are disclosed. The inspection system comprises an illumination source configured to emit an extreme ultraviolet (EUV) illumination beam for illuminating a sample, one or more first multi-cell detectors configured to generate a first illumination intensity distribution signal based on a first photocurrent, one or more second multi-cell detectors configured to generate a second illumination intensity distribution signal based on a second photocurrent, a detector assembly configured to receive the illumination beam and generate images, and a controller configured to: receive the images from the detector assembly, calibrate the second illumination intensity distribution signal to the images by mapping distortions in the second illumination intensity distribution signal to distorted pixels in the images, increase or decrease intensities of the distorted pixels in the images to generate corrected images, and detect defects on the samples.
    Type: Grant
    Filed: December 3, 2020
    Date of Patent: April 5, 2022
    Assignee: KLA Corporation
    Inventors: Larissa Juschkin, Konstantin Tsigutkin, Debashis De Munshi
  • Patent number: 11215512
    Abstract: A light intensity fluctuation-insensitive projection objective wave aberration detection device and a detection method thereof, comprising a light source and illumination system, an object plane marking plate, an object plane displacement table, a tested projection objective, an image plane marking plate, a two-dimensional photosensor, an image plane displacement table and a control processing unit; the object plane marking plate and the image plane marking plate are provided with grating marks for shear interference test and marks for light intensity test, the shear interferograms and the light intensity information are simultaneously received through the two-dimensional photosensor, the light intensity fluctuation error corresponding to each phase-shifting interferogram is corrected through the light intensity information, improving the detection precision, reducing the complexity and the cost of the system, and improving the detection speed.
    Type: Grant
    Filed: September 25, 2020
    Date of Patent: January 4, 2022
    Assignee: Shanghai Institute of Optics And Fine Mechanics, Chinese Academy of Sciences
    Inventors: Feng Tang, Xiangzhao Wang, Yunjun Lu, Changzhe Peng, Yang Liu
  • Patent number: 11194257
    Abstract: The present invention provides an exposure method of exposing a substrate while moving an original and the substrate in a scanning direction, the method including performing a step of specifying a position of a concave-convex portion present in the substrate, and performing a step of driving the substrate, based on the position of the concave-convex portion specified and a measurement value of the position in the height direction of each measurement point obtained by causing a light beam to obliquely enter each of a plurality of measurement points while moving the substrate in the scanning direction, so that the position in the height direction of the substrate will be a target position, when exposing the substrate.
    Type: Grant
    Filed: June 6, 2019
    Date of Patent: December 7, 2021
    Assignee: CANON KABUSHIKI KAISHA
    Inventor: Masaki Tai
  • Patent number: 11163239
    Abstract: A method for localizing an abnormality in a travel path of an optical component in or for a lithography apparatus includes: a) moving the optical component in at least one first degree of freedom; b) detecting a movement (Rz) of the optical component and/or a force acting on the optical component in at least one second degree of freedom; and c) localizing the abnormality as a function of the movement detected in b) and/or the force detected in b).
    Type: Grant
    Filed: October 8, 2020
    Date of Patent: November 2, 2021
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Frank Treubel, Christoph Fetzer
  • Patent number: 11071513
    Abstract: A method includes forming a test key. The formation of the test key includes forming a first plurality of semiconductor strips, and cutting the first plurality of semiconductor strips into an array of a second plurality semiconductor strips, with each row of the array being formed from one strip in the first plurality of semiconductor strips, forming isolation regions in recesses between the second plurality of semiconductor strips, and recessing the isolation regions. The top portions of the second plurality of semiconductor strips protrude higher than the isolation regions form semiconductor fins, which form a fin array. An X-ray beam is projected on the test key. A diffraction pattern is obtained from scattered X-ray beam scattered from the test key.
    Type: Grant
    Filed: October 11, 2019
    Date of Patent: July 27, 2021
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shyh-Shin Ferng, Chung-Li Huang, Yi-Hung Lin, Chungwei Wang
  • Patent number: 11042099
    Abstract: The lithography apparatus includes at least two exposure devices and one substrate device. The substrate device includes a substrate stage and a substrate supported by the substrate stage. The at least two exposure devices are disposed in symmetry to each other above the substrate with respect to a direction for scanning exposure and configured to simultaneously create two exposure fields onto the substrate to expose the portions of the substrate within the exposure fields.
    Type: Grant
    Filed: March 13, 2018
    Date of Patent: June 22, 2021
    Assignee: Shanghai Micro Electronics Equipment (Group) Co., Ltd.
    Inventors: Chang Zhou, Zhiyong Yang, Linlin Ma
  • Patent number: 10948832
    Abstract: A method of reducing an aberration arising during operation of a lithographic apparatus, the method comprising measuring the aberration to obtain an aberration signal, the aberration signal comprising a first component and a second component, wherein the first component of the aberration signal comprises a first frequency band and the second component of the aberration signal comprises a second frequency band, wherein the first frequency band comprises frequencies that are higher than frequencies comprised in the second frequency band, calculating a correction, wherein a first part of the correction is calculated based on the first component of the aberration signal, and applying the correction to the lithographic apparatus.
    Type: Grant
    Filed: March 6, 2018
    Date of Patent: March 16, 2021
    Assignee: ASML Netherlands B.V.
    Inventors: Nick Kant, Robertus Martinus Alphonsus Van Herpen, Mark Louwrens Beks, Lense Hendrik-Jan Maria Swaenen, Nico Vanroose, James Robert Downes
  • Patent number: 10942346
    Abstract: Methods and systems for generating non-diffracting light sheets for multicolor fluorescence microscopy are disclosed. A method for generating a non-diffracting light patterned Bessel sheet comprises transmitting an input light beam through a Fourier transform lens the input light beam has a spatial intensity pattern at a first plane, and a Fourier plane is formed after the Fourier transform lens to obtain a first light beam; transmitting the first light beam through an annulus mask to obtain a second light beam; and transmitting the second light beam through an excitation objective lens to form a non-diffracting patterned light sheet. A method for generating a non-diffracting light line Bessel sheet comprises transmitting an input light beam at a first lane through an annulus mask to obtain a first light beam; and transmitting the first light beam through an excitation objective lens to form a non-diffracting Bessel light sheet.
    Type: Grant
    Filed: February 2, 2016
    Date of Patent: March 9, 2021
    Assignee: The Hong Kong University of Science and Technology
    Inventors: Ming Tak Michael Loy, Shengwang Du, Teng Zhao, Sze Cheung Lau, Ying Wang, Yumian Su
  • Patent number: 10883816
    Abstract: A position measurement system configured to measure a position of an object, the system including: a displacement interferometer having a first capture range; a time-of-flight sensor having a second capture range that is larger than the first capture range and having an inaccuracy that is smaller than the first capture range; and a processing unit, wherein the position measurement system has a zeroing mode in which the processing unit is configured to determine a coarse position of the object within the second capture range based on an output from the time-of-flight sensor, and in which the processing unit is configured to determine a fine position of the object based on the determined coarse position and an output from the displacement interferometer.
    Type: Grant
    Filed: February 6, 2018
    Date of Patent: January 5, 2021
    Assignee: ASML Netherlands B.V.
    Inventor: Maarten Jozef Jansen
  • Patent number: 10867110
    Abstract: A method of fabricating a semiconductor device includes designing a layout, forming a photomask based on the layout, correcting an optical transmittance of the photomask, and performing a photolithography process using the photomask having the corrected optical transmittance to form a pattern on a substrate. The correcting the optical transmittance of the photomask includes creating an intensity map by capturing light that passes through the photomask, simulating the layout to create a virtual intensity map, and correcting an optical transmittance of a mask substrate of the photomask based on the intensity map and the virtual intensity map.
    Type: Grant
    Filed: June 12, 2019
    Date of Patent: December 15, 2020
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sooyong Lee, Bong-Soo Kang, Kyoil Koo, Sangtae Kim, Kang-Min Jung
  • Patent number: 10845719
    Abstract: A method of controlling a lithographic apparatus to manufacture a plurality of devices, the method including: obtaining a parameter map representing a parameter variation across a substrate by measuring the parameter at a plurality of points on the substrate; decomposing the parameter map into a plurality of components, including a first parameter map component representing parameter variations associated with the device pattern and one or more further parameter map components representing other parameter variations; deriving a scale factor, configured to correct for errors in measurement of the parameter variation, from measurements of a second parameter of a substrate; and controlling the lithographic apparatus using the parameter map and scale factor to apply a device pattern at multiple locations across the substrate.
    Type: Grant
    Filed: December 20, 2017
    Date of Patent: November 24, 2020
    Assignee: ASML Netherlands B.V.
    Inventors: Rene Marinus Gerardus Johan Queens, Wolfgang Helmut Henke, Arend Johannes Donkerbroek, Jeroen Cottaar
  • Patent number: 10841446
    Abstract: At the time of copying a smaller document and a larger document on output sheets having the same size, in a first case where a first document is smaller and a second document is larger, a printing control unit analyzes a content of the second document, and copies the first document and the second document on output sheets having sizes corresponding to the analysis result. In a second case where the first document is larger and the second document is smaller, a content of the first document is analyzed, and the first document and the second document are copied on output sheets having sizes corresponding to the analysis result.
    Type: Grant
    Filed: December 11, 2019
    Date of Patent: November 17, 2020
    Assignee: Seiko Epson Corporation
    Inventors: Yo Kawano, Yoichiro Maki
  • Patent number: 10794694
    Abstract: A workpiece alignment system is provided has a light emission apparatus that directs a light beam at a plurality of wavelengths along a path at a shallow angle toward a first side of a workpiece plane at a peripheral region. A light receiver apparatus, receives the light beam on a second side opposite the first side. A rotation device selectively rotates a workpiece support. According controller determines a position of the workpiece based on an amount of the light beam received through the workpiece when the workpiece intersects the path. A sensitivity of the light receiver apparatus is controlled based on a transmissivity of the workpiece. A position of the workpiece is determined when the workpiece is rotated based on the rotational position, an amount of the light beam received, the transmissivity of the workpiece, detection of a workpiece edge, and the controlled sensitivity of the light receiver apparatus.
    Type: Grant
    Filed: October 25, 2018
    Date of Patent: October 6, 2020
    Assignee: Axcelis Technologies, Inc.
    Inventors: John F. Baggett, Billy Thomas Benoit, Joe Ferrara, Brian Terry
  • Patent number: 10782242
    Abstract: An inspection method for semiconductor substrates using slope data and corresponding inspection apparatus are provided. The inspection method includes recording, by using an inspection apparatus, first data from measuring points in an inspection area of a main surface of a semiconductor substrate. The inspection area winds around a center point of the main surface. The first data includes information about a slope of the main surface at the measuring points along a first direction that deviates from a direction tangential to a circle that contains the measuring point and that has its center in the center point by not more than ±60°. A data processing apparatus analyzes the first data to obtain position data of locations on the main surface, at which the first data fulfills predetermined criteria. The position data is output through a data interface unit.
    Type: Grant
    Filed: December 7, 2018
    Date of Patent: September 22, 2020
    Assignee: Infineon Technologies AG
    Inventors: Robert Muhr, Nicolas Siedl
  • Patent number: 10712150
    Abstract: The disclosure includes a system that includes a light source, an optical detector, a camera, and a controller. The light source is configured to illuminate a turbine blade of an engine. The optical detector is coupled to a turbine case of the engine. The camera is communicatively coupled to the optical detector and configured to detect an image of the turbine blade at a stationary condition and an image of the turbine blade at a rotational speed and temperature using the optical detector. The controller is configured to determine and output a relative displacement of at least one timing probe from the turbine blade at the rotational speed and temperature based on the image of the turbine blade at the stationary condition and the image of the turbine blade at the rotational speed and temperature. The at least one timing probe is coupled to the turbine case.
    Type: Grant
    Filed: November 5, 2018
    Date of Patent: July 14, 2020
    Assignee: Rolls-Royce Corporation
    Inventors: Scott Ziegler, Scott Courtney
  • Patent number: 10691014
    Abstract: A lithography system includes a radiation source configured to generate an extreme ultraviolet (EUV) light. The lithography system includes a mask that defines one or more features of an integrated circuit (IC). The lithography system includes an illuminator configured to direct the EUV light onto the mask. The mask diffracts the EUV light into a 0-th order ray and a plurality of higher order rays. The lithography system includes a wafer stage configured to secure a wafer that is to be patterned according to the one or more features defined by the mask. The lithography system includes a pupil phase modulator positioned in a pupil plane that is located between the mask and the wafer stage. The pupil phase modulator is configured to change a phase of the 0-th order ray.
    Type: Grant
    Filed: December 14, 2018
    Date of Patent: June 23, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Yen-Cheng Lu, Shinn-Sheng Yu, Jeng-Horng Chen, Anthony Yen
  • Patent number: 10678143
    Abstract: A projection system model is configured to predict optical aberrations of a projection system based upon a set of projection system characteristics and to determine and output a set of optical element adjustments based upon a merit function. The merit function comprises a set of parameters and corresponding weights. The method comprises receiving an initial merit function and executing an optimization algorithm to determine a second merit function. The optimization algorithm scores different merit functions based upon projection system characteristics of a projection system adjusted according to the output of the projection system model using a merit function having that set of parameters and weights.
    Type: Grant
    Filed: May 15, 2017
    Date of Patent: June 9, 2020
    Assignee: ASML Netherlands B.V.
    Inventors: Giovanni Imponente, Pierluigi Frisco
  • Patent number: 10649342
    Abstract: A lithographic process is one that applies a desired pattern onto a substrate, usually onto a target portion of the substrate. During the lithographic process, the focus should be controlled. There is disclosed a method for determining a fingerprint of a performance parameter associated with a substrate, such as a focus value to be used during the lithographic process. A reference fingerprint of the performance parameter is determined for a reference substrate. A reference substrate parameter of the reference substrate is determined. A substrate parameter for a substrate, such as a substrate with product structures, is determined. Subsequently, the fingerprint of the performance parameter is determined based on the reference fingerprint, the reference substrate parameter and the substrate parameter. The fingerprint may then be used to control the lithographic process.
    Type: Grant
    Filed: June 22, 2017
    Date of Patent: May 12, 2020
    Assignee: ASML Netherlands B.V.
    Inventors: Léon Maria Albertus Van Der Logt, Bart Peter Bert Segers, Simon Hendrik Celine Van Gorp, Carlo Cornelis Maria Luijten, Frank Staals
  • Patent number: 10627726
    Abstract: According to one embodiment, a patterning support system includes an absolute position measuring device that measures absolute positions with respect to absolute coordinates, of a first pattern formed in a shot area of a substrate and a second pattern to be transferred to the substrate while being overlayed on the first pattern, a substrate profile measuring device that measures a global positional deviation amount of the substrate, a misalignment inspecting device that measures a misalignment amount of the second pattern with respect to the first pattern, a correction executing device that corrects the position of the second pattern with respect to the first pattern, and a control device that calibrates the absolute positions measured by the absolute position measuring device, using at least one of the global positional deviation amount and the misalignment amount, and converts the calibrated absolute positions into a position correction parameter to be used when the position of the second pattern is correct
    Type: Grant
    Filed: March 4, 2019
    Date of Patent: April 21, 2020
    Assignee: TOSHIBA MEMORY CORPORATION
    Inventor: Manabu Takakuwa
  • Patent number: 10612997
    Abstract: An apparatus and method for measuring thermo-mechanically induced reticle distortion or other distortion in a lithography device enables detecting distortion at the nanometer level in situ. The techniques described use relatively simple optical detectors and data acquisition electronics that are capable of monitoring the distortion in real time, during operation of the lithography equipment. Time-varying anisotropic distortion of a reticle can be measured by directing slit patterns of light having different orientations to the reticle and detecting reflected, transmitted or diffracted light from the reticle. In one example, corresponding segments of successive time measurements of secondary light signals are compared as the reticle scans a substrate at a reticle stage speed of about 1 m/s to detect temporal offsets and other features that correspond to spatial distortion.
    Type: Grant
    Filed: May 18, 2018
    Date of Patent: April 7, 2020
    Assignee: Nikon Corporation
    Inventor: Michael Sogard
  • Patent number: 10599044
    Abstract: The present disclosure generally relates to lithography devices comprising an image projection system. The image projection system comprises a fiber bundle coupled to a first homogenizer and a second homogenizer. The first homogenizer is offset from the second homogenizer along a scan direction. The first homogenizer is optically aligned with a first digital micromirror device, and the second homogenizer is optically aligned with a second digital micromirror device. The first digital micromirror device is offset from the second digital micromirror device along the scan direction within an optical field of view of a projection lens. A scan field of the first digital micromirror device overlaps or aligns with a scan field of the second digital micromirror device to eliminate a gap between the scan field of the first digital micromirror device and the scan field of the second digital micromirror device.
    Type: Grant
    Filed: February 4, 2019
    Date of Patent: March 24, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Guoheng Zhao, Jeremy Rolfe Nesbitt, Christopher Dennis Bencher, Mehdi Vaez-Iravani
  • Patent number: 10578978
    Abstract: A method is provided to easily determine the parameters of a second process for manufacturing from the parameters of a first process. Metrics representative of the differences between the two processes are computed from a number of values of the parameters, which can be measured for the two processes on a calibration layout, or which can be determined from pre-existing values for layouts or reference data for the two processes by an interpolation/extrapolation procedure. The number of metrics is selected so that their combination gives a precise representation of the differences between the two processes in all areas of a design. Advantageously, the metrics are calculated as a product of convolution of the target design and a compound of a kernel function and a deformation function. A reference physical model of the reference process is determined. A sizing correction to be applied to the edges of the design produced by the reference process is calculated.
    Type: Grant
    Filed: October 5, 2016
    Date of Patent: March 3, 2020
    Assignee: ASELTA NANOGRAPHICS
    Inventors: Mohamed Saib, Patrick Schiavone, Thiago Figueiro, Sébastien Bayle
  • Patent number: 10571812
    Abstract: Focus performance of a lithographic apparatus is measured using pairs of targets that have been exposed (1110) with an aberration setting (e.g. astigmatism) that induces a relative best focus offset between them. A calibration curve (904) is obtained in advance by exposing similar targets on FEM wafers (1174, 1172). In a set-up phase, calibration curves are obtained using multiple aberration settings, and an anchor point (910) is recorded, where all the calibration curves intersect. When a new calibration curve is measured (1192), the anchor point is used to produce an adjusted updated calibration curve (1004?) to cancel focus drift and optionally to measure drift of astigmatism. Another aspect of the disclosure (FIGS. 13-15) uses two aberration settings (+AST, ?AST) in each measurement, reducing sensitivity to astigmatism drift. Another aspect (FIGS. 16-17) uses pairs of targets printed with relative focus offsets, by double exposure in one resist layer.
    Type: Grant
    Filed: July 26, 2018
    Date of Patent: February 25, 2020
    Assignee: ASML Netherlands B.V.
    Inventors: Fahong Li, Miguel Garcia Granda, Carlo Cornelis Maria Luijten, Bart Peter Bert Segers, Cornelis Andreas Franciscus Johannes Van Der Poel, Frank Staals, Anton Bernhard Van Oosten, Mohamed Ridane
  • Patent number: 10564551
    Abstract: For determining a focus position of a lithography mask (e.g., 5), a focus stack of a measurement region free of structures to be imaged is recorded and the speckle patterns of the recorded images are evaluated.
    Type: Grant
    Filed: February 20, 2019
    Date of Patent: February 18, 2020
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Markus Koch, Dirk Hellweg, Renzo Capelli, Martin Dietzel
  • Patent number: 10522794
    Abstract: A method of active alignment of a shadow mask to a substrate includes a first alignment by moving the shadow mask and the substrate a first distance in a vertical direction, capturing a first alignment image, determining at least one of a first correction distance and a first rotational correction angle, and aligning the shadow mask and the substrate by moving the first correction distance and rotating the first rotational correction angle. The method further includes performing a first material deposition process on the substrate and continuously capturing a first series of alignment images during the generation of the first material deposition flow. During the generation of the first material deposition flow the first series of alignment images are analyzed to determine a second correction distance and a second rotational correction angle and determining whether second distance and/or rotational correction angle is greater than or equal to a predetermined value to cause a second alignment process to occur.
    Type: Grant
    Filed: November 1, 2018
    Date of Patent: December 31, 2019
    Assignee: eMagin Corporation
    Inventors: Ilyas I. Khayrullin, Evan P. Donoghue, Kerry Tice, Tariq Ali, Qi Wang, Fridrich Vazan, Amalkumar P. Ghosh
  • Patent number: 10481503
    Abstract: A substrate has first and second target structures formed by a lithographic process. Each target structure has a two-dimensional periodic structure formed in a single layer using first and second lithographic steps. The first target structure has features defined in the second lithographic step displaced relative to features defined in the first lithographic step by a first bias amount. The second target structure has features defined in the second lithographic step displaced relative to features defined in the first lithographic step by a second bias amount. An angle-resolved scatter spectrum of the first target structure and an angle-resolved scatter spectrum of the second target structure is obtained. A measurement of a parameter of a lithographic process is derived from the measurements using asymmetry found in the scatter spectra of the first and second target structures.
    Type: Grant
    Filed: August 15, 2016
    Date of Patent: November 19, 2019
    Assignee: ASML Netherlands B.V.
    Inventors: Maurits Van Der Schaar, Youping Zhang, Hendrik Jan Hidde Smilde, Anagnostis Tsiatmas, Adriaan Johan Van Leest, Alok Verma, Thomas Theeuwes, Hugo Augustinus Joseph Cramer, Paul Christiaan Hinnen
  • Patent number: 10466466
    Abstract: In general this device allows one to rapidly configure a mobile phone for use with a microscope. When using the device a person can take images or videos and rapidly share them, or have another user videoconference in and see the images in real time. Further, it saves both money and time when using a microscope in a laboratory (or other) setting. This device can also be used without microscope for a macro lens with illumination and light differential for purposes such as jewelry or medical examination.
    Type: Grant
    Filed: May 31, 2016
    Date of Patent: November 5, 2019
    Inventor: Du Cheng
  • Patent number: 10436577
    Abstract: The invention relates to a full-field statistical & characterizing method of fluid micro-explored strain for alloy microstructure, comprising the following steps: a. grinding and polishing the surface of an alloy sample to mirror with no grinding defects, and then determining a to-be-measured area on the surface of the alloy sample; b. utilizing a white light interferometry 3D surface profiler to perform initial morphology measurement on the surface of an alloy sample; c. utilizing an isostatic pressing technology to obtain the microstructure deformation on the surface of the alloy sample, and then utilizing a white light interferometry 3D surface profiler to perform deformed morphology measurement on the surface of the alloy sample to obtain a changing spectrum of micro morphology of the microstructures on the surface of the alloy; and d.
    Type: Grant
    Filed: June 1, 2018
    Date of Patent: October 8, 2019
    Assignee: CENTRAL IRON AND STEEL RESEARCH INSTITUTE
    Inventors: Guang Feng, Yunhai Jia, Haizhou Wang, Zhongnan Bi, Xuejing Shen, Peng Wang, Hailong Qin, Lei Zhao, Xing Yu, Dongling Li
  • Patent number: 10418290
    Abstract: A method of patterning a semiconductor device includes following steps. First of all, a substrate is provided, and a first target pattern is formed in the substrate. Next, a second target pattern is formed on the substrate, across the first target pattern. Then, a third pattern is formed on a hard mask layer formed on the substrate, by using an electron beam apparatus, wherein two opposite edges of the third pattern are formed under an asymmetry control.
    Type: Grant
    Filed: February 2, 2017
    Date of Patent: September 17, 2019
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: En-Chiuan Liou, Hon-Huei Liu, Chia-Hung Lin, Yu-Cheng Tung
  • Patent number: 10394128
    Abstract: In a method for predicting at least one illumination parameter for evaluating an illumination setting for illuminating an object field of a projection exposure apparatus, illumination parameters are measured at a number of calibration settings, correction terms for prediction values of the illumination parameters are determined from the measured values, and then at least one illumination parameter of at least one illumination setting, which is not contained in the set of n calibration settings, is predicted.
    Type: Grant
    Filed: September 6, 2018
    Date of Patent: August 27, 2019
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Ralf Gehrke, Christoph Hennerkes, Wolfgang Hoegele, Joerg Zimmermann
  • Patent number: 10359600
    Abstract: The present invention discloses an optical system for adjusting and compensating a back focus through a multi-optical-path combination. The optical system includes a lens barrel. The lens barrel is provided with a lens head holder body. A light splitting component used for splitting a light beam into a plurality of light beams is arranged in the lens head holder body. The present invention may perform matching and adjustment according to a requirement of the optical system in each rate state, so that a plurality of paths of light shares a focus.
    Type: Grant
    Filed: January 7, 2018
    Date of Patent: July 23, 2019
    Assignee: UNION OPTECH CO., LTD.
    Inventor: Junqiang Gong
  • Patent number: 10330905
    Abstract: Provided is a microscope apparatus including: a light source; an illumination optical system that radiates illumination light from the light source onto an observation object; an imaging optical system that focuses light from the observation object; and an image acquisition device that acquires an image of the light focused by the imaging optical system. The imaging optical system is provided with: imaging lenses that form a final image and at least one intermediate image; a first phase modulation element that is disposed closer to an object than any of the at least one intermediate image and that gives a spatial disturbance to the wavefront of light from the object; a second phase modulation element that is disposed at a position for allowing the at least one intermediate image to be sandwiched with the first phase modulation element and that cancels out the spatial disturbance given to the wavefront.
    Type: Grant
    Filed: April 3, 2017
    Date of Patent: June 25, 2019
    Assignee: OLYMPUS CORPORATION
    Inventor: Hiroya Fukuyama
  • Patent number: 10324379
    Abstract: A method to form on a substrate a first target comprising a first feature and a second target comprising a second feature, wherein the forming of the targets comprises applying the first feature and the second feature to the substrate by projection of a radiation beam through a production patterning device installed in a lithographic apparatus, the features corresponding to one or more features of the patterning device, and controlling a configuration of the lithographic apparatus to induce an aberration component, such that the first feature is applied to the substrate using a first value of an induced aberration component and the second feature is applied to the substrate using a second, different value of the induced aberration component; measuring a property of the targets; and using the measurements to determine a sensitivity of the property of the targets to changes in value of the induced aberration component.
    Type: Grant
    Filed: June 7, 2016
    Date of Patent: June 18, 2019
    Assignee: ASML Netherlands B.V.
    Inventors: Cedric Marc Affentauschegg, Milenko Jovanovic, Richard Johannes Franciscus Van Haren, Reiner Maria Jungblut, Robertus Wilhelmus Van Der Heijden
  • Patent number: 10274840
    Abstract: Disclosed is an adaptive groove focusing and leveling device for measuring the height and the inclination of the surface of a measured object (400).
    Type: Grant
    Filed: December 27, 2015
    Date of Patent: April 30, 2019
    Assignee: SHANGHAI MICRO ELECTRONICS EQUIPMENT (GROUP) CO., LTD.
    Inventors: Jingchao Qi, Feibiao Chen
  • Patent number: 10236647
    Abstract: A routing members connection structure electrically connects a long first trunk routing member which is routed in a vehicle and can transmit power and a first branch routing member routed in the vehicle, can transmit power, and branches off from the first trunk routing member. Each of the first trunk routing member and the first branch routing member has a flat conductor and an insulator that is formed on the outer circumferential surface of the flat conductor. The first trunk routing member has a post-shaped first stud bolt that is made of a metal and extends outward from a first branching portion where the flat conductor is exposed without being covered with the insulator. The flat conductor of the first trunk routing member and the flat conductor of the first branch routing member are electrically connected to each other via the first stud bolt.
    Type: Grant
    Filed: April 24, 2018
    Date of Patent: March 19, 2019
    Assignee: YAZAKI CORPORATION
    Inventors: Shingo Kato, Yasuhiro Kominato
  • Patent number: 10222573
    Abstract: An adjustable optical lens and camera module and manufacturing method thereof are provided, wherein the camera module includes an optical sensor and an adjustable optical lens. The adjustable optical lens, which is arranged in a photosensitive path of the optical sensor, includes an optical structural member and at least two lenses. Each of the lens is arranged in an internal space of the optical structural member along an axial direction of the optical structural member, wherein before packaging the adjustable optical lens and the optical sensor, at least one position of the lens in the internal space of the optical structural member is able to be adjusted, so that a central axis line of the adjustable optical lens and a central axis line of the optical sensor are coincided, so as to improve the image quality of the camera module.
    Type: Grant
    Filed: February 29, 2016
    Date of Patent: March 5, 2019
    Assignee: Ningbo Sunny Opotech Co., Ltd.
    Inventors: Mingzhu Wang, Bojie Zhao, Liang Ding, Chunmei Liu, Feifan Chen, Nan Guo, Heng Jiang
  • Patent number: 10200565
    Abstract: An image forming system includes an image forming device, a reading device and a hardware processor. The image forming device includes an image former that forms an image on a sheet. The reading device includes a line sensor and a lens unit. The line sensor reads the sheet with the image formed, thereby obtaining a read image. The lens unit includes a plurality of lenses and forms an image of the sheet on the line sensor. The hardware processor identifies a sheet region of the sheet in the read image, and corrects a magnification of each subregion in a main scanning direction of the sheet region according to a characteristic of a portion in the main scanning direction of the lens unit, the portion having being used in forming an image of the subregion on the line sensor.
    Type: Grant
    Filed: September 19, 2017
    Date of Patent: February 5, 2019
    Assignee: KONICA MINOLTA, INC.
    Inventors: Hiroshi Isokawa, Kenji Kawatsu
  • Patent number: 10199330
    Abstract: In various embodiments, an alignment mark arrangement may include a plurality of alignment marks disposed next to each other in a row, wherein at least one of the following holds true: a first alignment mark of the plurality of alignment marks has a first width and a second alignment mark of the plurality of alignment marks has a second width that is different from the first width; a first pair of neighboring alignment marks of the plurality of alignment marks is arranged at a first pitch and a second pair of neighboring alignment marks of the plurality of alignment marks is arranged at a second pitch that is different from the first pitch.
    Type: Grant
    Filed: December 23, 2013
    Date of Patent: February 5, 2019
    Assignee: Infineon Technologies AG
    Inventors: Andreas Woerz, Erwin Steinkirchner
  • Patent number: 10185225
    Abstract: A lithography apparatus includes a detector that detects an original-side mark image and a substrate-side mark image via a projection optical system, and a controller. The detector generates refocusable light field image data that includes the original-side mark image and the substrate-side mark image. The controller performs, based on the light field image data obtained from the detector, a refocus operation of reconstructing a plurality of images different in position in a focus direction and adjusts a position of at least one of the original holder and the substrate holder based on the plurality of images reconstructed by the refocus operation.
    Type: Grant
    Filed: October 13, 2017
    Date of Patent: January 22, 2019
    Assignee: CANON KABUSHIKI KAISHA
    Inventor: Shinichi Egashira
  • Patent number: 10180207
    Abstract: A stand comprising a support platform connected to a movable mounting platform via actuators, a movable mounting platform position locking unit, comprising unit for activation and deactivation of the movable mounting platform positioning mode, sensors of applied force connected to each actuator, and an electronic device for actuator length control capable of receiving signals from force sensors about forces applied to actuators prior to activation of the movable mounting platform positioning mode, of taking the force value at the activation of the movable mounting platform positioning mode as conventionally equal to 0, and of further changing the actuator length based on signals from force sensors about forces applied to actuators after activation of the mode of movable mounting platform positioning in the direction where the force on the corresponding force sensor is decreasing, at a speed proportional to the magnitude of force.
    Type: Grant
    Filed: July 13, 2017
    Date of Patent: January 15, 2019
    Inventors: Danylo Kozub, Iurii Shapoval, Sergii Pustovarov
  • Patent number: 10151996
    Abstract: In an image forming apparatus, a correction control unit of an image clock control unit controls the frequency of an image clock, so that the partial magnification of each position in an image region in which an image is formed is corrected in a main scanning direction that is a direction in which a laser beam scans a scanning region of one line. When controlling the frequency of the image clock, the correction control unit makes the change rate (the slope) that is a change amount of the image clock per unit time, be smaller in a non-image region being a region other than the image region, than the change rate in the image region.
    Type: Grant
    Filed: June 16, 2017
    Date of Patent: December 11, 2018
    Assignee: CANON KABUSHIKI KAISHA
    Inventor: Hidenori Kanazawa
  • Patent number: 10078267
    Abstract: In a method for predicting at least one illumination parameter for evaluating an illumination setting for illuminating an object field of a projection exposure apparatus, illumination parameters are measured at a number of calibration settings, correction terms for prediction values of the illumination parameters are determined from the measured values, and then at least one illumination parameter of at least one illumination setting, which is not contained in the set of n calibration settings, is predicted.
    Type: Grant
    Filed: April 7, 2017
    Date of Patent: September 18, 2018
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Ralf Gehrke, Christoph Hennerkes, Wolfgang Hoegele, Joerg Zimmermann
  • Patent number: RE47271
    Abstract: Certain embodiments provide an imprint recipe creating device comprising first to fifth creation units. The first creation unit creates inside-standard-shot information by use of filling amount information and residual film thickness information. The second creation unit creates first inside-substrate-surface information by use of shot position information, edge information, and the inside-standard-shot information. The third creation unit creates first correction information by use of unevenness information indicating unevenness in a substrate and unevenness distribution information indicating variations in depth of the unevenness inside the substrate surface. The fourth creation unit creates second correction information by use of post-process information indicating the variations in processing size. The fifth creation unit synthesizes the first inside-substrate-surface information, the first correction information and the second correction information, to create second inside-substrate-surface information.
    Type: Grant
    Filed: June 3, 2016
    Date of Patent: March 5, 2019
    Assignee: Toshiba Memory Corporation
    Inventors: Shinji Mikami, Ryoichi Inanami