Including Serially Arranged Valves In Path Having A Vertical Component (e.g., Airlocks, Etc.) Patents (Class 414/221)
  • Patent number: 11527424
    Abstract: Disclosed herein are systems and methods relating to a transfer chamber for an electronic device processing system. The transfer chamber can include a first magnetic levitation track having a face-up orientation and a second magnetic levitation track spaced from the first magnetic levitation track and having a face-down orientation. The system can include substrate carriers that move along the first and second magnetic levitation tracks where each substrate carrier includes a magnet on a bottom portion to interact with a first magnetic field and a second magnet on a top portion to interact with a second magnetic field. The system also can include at least one lift pin assembly to move the substrate carriers in a vertical direction between the first and second magnetic levitation tracks.
    Type: Grant
    Filed: March 20, 2020
    Date of Patent: December 13, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Alex Berger, Jeffrey Hudgens, Eric Englhardt
  • Patent number: 10872803
    Abstract: The present disclosure relates to a semiconductor processing apparatus having a reaction chamber which can include a baseplate having an opening; a moveable substrate support configured to support a substrate; a movement element configured to move a substrate held on the substrate support towards the opening of the baseplate; a plurality of gas inlets positioned above and configured to direct gas downwardly towards the substrate support; and a sealing element configured to form a seal between the baseplate and the substrate support, the seal positioned at a greater radial distance from a center of the substrate support than an outer edge of the substrate support. In some embodiments, the sealing element can also include a plurality of apertures extend through the sealing element, the apertures configured to provide a flow path between a position below the sealing element to a position above the sealing element.
    Type: Grant
    Filed: November 3, 2017
    Date of Patent: December 22, 2020
    Assignee: ASM IP Holding B.V.
    Inventors: Carl Louis White, Kyle Fondurulia, John Kevin Shugrue
  • Patent number: 9145611
    Abstract: The present invention generally provides a load lock chamber having slit valve doors. The load lock chamber is used to connect a transfer chamber to a factory interface, or to connect two transfer chambers. When the load lock chamber is between adjacent transfer chambers, the load lock chamber has slit valve doors within the load lock chamber which seal against an inside surface of the load lock chamber. The load lock can thus be serviced at atmospheric pressure without breaking vacuum in the transfer chambers because the atmospheric pressure presses the doors against the inside surface. When the load lock chamber is between a transfer chamber and a factory interface, one slit valve door is disposed outside of the load lock chamber and seals against an outside surface of the load lock chamber. The atmospheric pressure from the factory interface side helps press the door against the outside surface.
    Type: Grant
    Filed: August 30, 2013
    Date of Patent: September 29, 2015
    Assignee: APPLIED MATERIALS, INC.
    Inventor: Shinichi Kurita
  • Publication number: 20150125240
    Abstract: An apparatus for dynamically adjusting the pitch between substrates in a substrate stack comprises first and second lift portions. The first lift portion supports a first group of the plurality of substrates, and the second lift portion supports a second group of the plurality of substrates. The first and second lift portions are operable to move the first and second groups of substrates in a first direction independently from each other. This independent movement enables the pitch, or spacing, between adjacent substrates to be dynamically adjusted so that an end effector of a robot can be positioned between such adjacent substrates to pick one of the substrates without inadvertently engaging another substrate that is not being picked. Other embodiments are disclosed.
    Type: Application
    Filed: November 1, 2013
    Publication date: May 7, 2015
    Applicant: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Robert B. Vopat, Jason M. Shaller, Jeffrey Charles Blahnik, Malcom N. Daniel, JR.
  • Patent number: 8955406
    Abstract: The vacuum seal unit of a workpiece transfer apparatus includes a plurality of seal rings and a cooling flow passage formed so as to circulate through inside a rotating shaft and a workpiece transfer mechanism. The seal rings adjacent to each other with a spacing therebetween, out of the plurality of seal rings, and the rotating shaft define a first space and a second space independent from each other and respectively surrounding the periphery of the rotating shaft. An end portion of the cooling flow passage communicates with the first space, and the other end of the cooling flow passage communicates with the second space. Even when the rotating shaft is rotating about the axial center, a coolant supplied to the second space can flow through the cooling flow passage and be supplied to the first space, thereby enabling cooling with high efficiency without restriction on the angle of rotation.
    Type: Grant
    Filed: August 31, 2012
    Date of Patent: February 17, 2015
    Assignee: Daihen Corporation
    Inventors: Takaya Yamada, Masashi Kamitani
  • Publication number: 20140271055
    Abstract: Electronic device processing systems are described. The system includes a mainframe housing having a transfer chamber, a first facet, a second facet opposite the first facet, a third facet, and a fourth facet opposite the third facet, a first carousel assembly coupled to a first facet, a second carousel assembly coupled to the third facet, a first load lock coupled to the second facet, a second load lock coupled to the fourth facet, and a robot adapted to operate in the transfer chamber to exchange substrates from the first and second carousels. Methods and multi-axis robots for transporting substrates are described, as are numerous other aspects.
    Type: Application
    Filed: March 14, 2014
    Publication date: September 18, 2014
    Inventors: William T. Weaver, Malcolm N. Daniel, JR., Robert B. Vopat, Jason M. Schaller, Jacob Newman, Dinesh Kanawade, Andrew J. Constant, Stephen C. Hickerson, Jeffrey C. Hudgens, Marvin L. Freeman
  • Patent number: 8815616
    Abstract: There is provided a slit valve unit including: a body disposed on an outer side of a process chamber and having an entrance connected to an opening of the process chamber; a slit valve provided in an internal space of the body and selectively opening and closing the entrance; a plurality of packing members provided along the circumference of the entrance on an inner side of the body and tightly attached to the slit valve when the slit valve shields the entrance; and a connection pipe having one end exposed between the plurality of packing members on the inner side of the body so as to be connected to an airtight space formed among the plurality of packing members, the body, and the slit valve, and the other end exposed to the outer side of the body, the connection pipe penetrating the body.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: August 26, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang Kyu Bang, Sung Don Kwak, Choo-Ho Kim, Won Soo Ji
  • Publication number: 20140126980
    Abstract: Provided is a substrate processing apparatus which includes: first and second vacuum transfer chambers which are partitioned from each other; processing chambers configured to perform a vacuum processing onto substrates; a load lock chamber installed to be sandwiched between the first and second vacuum transfer chambers, and including partition valves installed between the load lock chamber and a normal pressure atmosphere, and between the load lock chamber and each of the first and second vacuum transfer chambers; and substrate mounting tables inside the load lock chamber and configured to move between an upper position at which the substrates are transferred between the load lock chamber and the normal pressure atmosphere, and a lower position at which the substrates are transferred between the load lock chamber and the first or second vacuum transfer chamber.
    Type: Application
    Filed: November 4, 2013
    Publication date: May 8, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Yu WAMURA, Akira SHIMIZU
  • Publication number: 20140064886
    Abstract: An architecture for substrate processing system wherein a group of several substrates are transferred simultaneously and processed simultaneously. Robot arm is used to transfer the substrates using a substrate hanger attached to the end thereof. The hanger is configured to slide above the substrates and pick up the substrates using hanger extensions that slide under the substrates and hold the substrates at their peripheral edge. By hanging the substrates from above, no regards to the position of lift pins is necessary. Also, by constructing the hanger to be symmetrical, the hanger motion is strictly linear and need not rotate. This saves transfer time and avoids collision with lift pins. Also, the symmetry and linear motion of the hanger maintains the substrates at the same relative position throughout the transfer and processing sequence.
    Type: Application
    Filed: August 21, 2013
    Publication date: March 6, 2014
    Applicant: ORBOTECH LT SOLAR, LLC.
    Inventor: Masato Toshima
  • Patent number: 8623765
    Abstract: A processed object processing apparatus which enables a plurality of processes to be carried out efficiently. A plurality of treatment systems are communicably connected together in a line and in which the objects to be processed are processed. A load lock system is communicably connected to the treatment systems and has a transfer mechanism that transfers the objects to be processed into and out of each of the treatment systems. At least one of the treatment systems is a vacuum treatment system, and the load lock system is disposed in a position such as to form a line with the treatment systems.
    Type: Grant
    Filed: August 17, 2009
    Date of Patent: January 7, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Jun Ozawa, Gaku Takahashi
  • Patent number: 8616820
    Abstract: Provided herein is a double dual slot load lock chamber. The double dual slot load lock chamber includes two isolated load lock regions that are vertically stacked and share a common wall, wherein each isolated load lock region comprises two substrate slots.
    Type: Grant
    Filed: October 29, 2007
    Date of Patent: December 31, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Shinichi Kurita, Wendell T. Blonigan
  • Patent number: 8574405
    Abstract: A system is described for processing biomass through a pyrolysis chamber that utilizes revolving polygon platforms, a conveyor system, and an airlock for introducing or removing biomass into the pyrolysis chamber. Biomass can be introduced via a first revolving polygon platform, and biochar resulting from pyrolysis can be removed via a second revolving polygon platform.
    Type: Grant
    Filed: October 3, 2012
    Date of Patent: November 5, 2013
    Assignee: Cool Planet Energy Systems, Inc.
    Inventors: Michael C. Cheiky, Richard J. Reis, Leo E. Manzer
  • Patent number: 8486222
    Abstract: A substrate processing apparatus includes a processing chamber configured to process a substrate, a substrate support member provided within the processing chamber to support the substrate, a microwave generator provided outside the processing chamber, a waveguide launch port configured to supply a microwave generated by the microwave generator into the processing chamber, wherein the central position of the waveguide launch port is deviated from the central position of the substrate supported on the substrate support member and the waveguide launch port faces a portion of a front surface of the substrate supported on the substrate support member, and a control unit configured to change a relative position of the substrate support member in a horizontal direction with respect to the waveguide launch port.
    Type: Grant
    Filed: September 22, 2011
    Date of Patent: July 16, 2013
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Tokunobu Akao, Unryu Ogawa, Masahisa Okuno, Shinji Yashima, Atsushi Umekawa, Kaichiro Minami
  • Patent number: 8444364
    Abstract: An apparatus and method for concurrent processing of several substrates. The system employs a novel architecture which, while being linear, may autonomously sequence processing and move substrates in different directions as necessary. The system moves several substrates concurrently; however, unlike the prior art it does not utilize trays.
    Type: Grant
    Filed: December 10, 2010
    Date of Patent: May 21, 2013
    Assignee: Orbotech LT Solar, LLC.
    Inventors: Wendell Thomas Blonigan, Masato Toshima, Kam S. Law, David Eric Berkstresser, Steve Kleinke, Craig Lyle Stevens
  • Patent number: 8443513
    Abstract: Disclosed is a substrate processing apparatus which can achieve an improvement in throughput and suppress the reduction in the operation rate of the entire apparatus even when a problem occurs. In the disclosed apparatus, at the rear end of a substrate loading block including a loading/unloading arm for transferring a wafer to a carrier, a first, a second, and a third processing blocks are disposed in that order. In the substrate loading block, transfer stages are provide for transferring a wafer from the loading/unloading arm to the first processing block, for transferring a wafer to the second processing block, and for transferring a wafer to the third processing block so that the wafer on the transfer stage is directly carried to the second processing block by a first direct carrying mechanism, and to the third processing block by a second direct carrying mechanism.
    Type: Grant
    Filed: September 30, 2010
    Date of Patent: May 21, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Seiki Ishida, Yukiyoshi Saito
  • Patent number: 8388296
    Abstract: An inner wall surrounding an inner space for vertically circulating a rack, and an outer wall surrounding the inner wall are provided. Then, a rack is disposed in the inner space. A pair of extensions are provided at diagonal positions of the rack. The extensions are attached to an endless drive member in a manner that the extensions protrude toward an outer space between the inner wall and the outer wall through slits of the inner wall, and, a drive mechanism for a drive member is provided in the outer space. Further, a tunnel passing through the outer space to connect the inner space and an area outside of the vertical carousel is provided for transportation of an article into, and out of the tunnel.
    Type: Grant
    Filed: November 4, 2008
    Date of Patent: March 5, 2013
    Assignee: Murata Machinery, Ltd.
    Inventors: Toru Suzuki, Takanori Izumi
  • Patent number: 8136549
    Abstract: A sluice system for a vacuum coating facility for coating substrates that can be moved through the vacuum coating in a direction of conveyance comprises a prevacuum slice chamber and a transfer chamber adjoining a coating chamber, wherein a fine vacuum can be regulated before the transfer chamber on the input side in the direction of conveyance and after the transfer device on the output side in the direction of conveyance. The prevacuum sluice chamber is directly adjacent to the transfer chamber and the fine vacuum can be regulated in the prevacuum sluice chamber. A high-vacuum pump system can also alternatively and selectively be connected to the prevacuum sluice chamber.
    Type: Grant
    Filed: April 2, 2010
    Date of Patent: March 20, 2012
    Assignee: Von Ardenne Anlagentechnik GmbH
    Inventors: Olaf Gawer, Jens Melcher, Dietmar Schulze, Hans-Christian Hecht
  • Patent number: 8092137
    Abstract: The present invention relates to an apparatus for transferring a substrate through a gate for loading and unloading is provided, and includes a transfer chamber having the gate for loading and unloading the substrate, a transfer system, which is able to move up and down in the transfer chamber and transfers the substrate by accessing each gate, an adjustment section for a gas down flow, which produces the gas down flow in the transfer chamber and is able to adjust a speed of the gas down flow, and a control section for controlling the speed of the gas down flow using the movement speed of the substrate.
    Type: Grant
    Filed: September 24, 2008
    Date of Patent: January 10, 2012
    Assignee: Tokyo Electron Ltd.
    Inventor: Motoki Miyasaka
  • Patent number: 8047231
    Abstract: To provide a valve element unit and a gate valve apparatus which realize a reduction in manufacturing cost by a compact and simple structure and smooth rotary driving of the valve element without greatly moving the valve element in the direction of the center of rotation when the valve element is rotary driven. When a valve element unit 52 is rotary driven by valve element driving mechanisms 54, a rotary drive radius R2 of longitudinal both end sides of a first valve element 56 is smaller than a rotary drive radius R1 of a longitudinal center side of the first valve element 56.
    Type: Grant
    Filed: June 18, 2007
    Date of Patent: November 1, 2011
    Assignee: Nippon Val-Qua Industries, Ltd.
    Inventor: Takehiro Nishiba
  • Patent number: 8025473
    Abstract: A carrying system 1 has a carrying path which is laid out in such a manner as to pass through the lower sides of loading table 11 and the like provided at the front face side of treating devices 10, 100, 200, and covered by a cover 5. As the carrying path is positioned below the loading tables, the occupying areas of the loading table 11 and the like and portions of the region of the carrying path are shared so that space saving is achieved, and the accessibility to the treating device 10, 100 or the like from the front side thereof is improved, thereby realizing a layout which facilitates maintenance. A loading surface 11d or the like of the loading table 11 is set to a height which allows a conventional overhead-type carrying system 2 and unmanned carrying vehicle 3 of a floor-type carrying system to load an object on the loading surface, thereby ensuring the co-existence with the other carrying systems.
    Type: Grant
    Filed: October 25, 2005
    Date of Patent: September 27, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Teruo Asakawa
  • Publication number: 20110142574
    Abstract: A method of transferring at least one object, sterilised and packed into a bag, into a clean room, where the bag is connected with an inner liner connecting the clean room with an outer room and on this is opened at an area open towards the inner liner so that a tube-like connection, closed towards the outer room, is formed between the interior of the bag and the inner liner, through which the at least one object is transferred into the clean room.
    Type: Application
    Filed: May 8, 2009
    Publication date: June 16, 2011
    Applicant: Lugaia STS Sterile Transfer Solutions AG
    Inventor: Viktor Schnyder
  • Patent number: 7959395
    Abstract: Substrate processing apparatus having a transport chamber, a linear array of substrate holding modules alongside the transport chamber, and a substrate transport located in the chamber. The chamber can hold an isolated atmosphere, and defines more than one substantially linear transport paths extending longitudinally along the transport chamber. The transport in the chamber is capable of transporting the substrate along the linear transport paths. The transport has a transporter capable of holding and moving the substrate. The transporter interfaces a wall of the transport chamber for moving along at least one of linear paths. The transport chamber has interfaces for mating with other substrate holding modules at opposite ends of the transport chamber. Each interface has an opening through which at least one of the more than one linear transport paths extends, and the transport chamber has a selectably variable longitudinal length between the interfaces.
    Type: Grant
    Filed: May 26, 2006
    Date of Patent: June 14, 2011
    Assignee: Brooks Automation, Inc.
    Inventors: Christopher Hofmeister, Robert T. Caveney
  • Patent number: 7776192
    Abstract: An elongate vacuum system for coating one or both sides of a flat substrate which can be displaced by the system, comprises at least one magnetron provided with a magnetron surrounding area and is subdivided into successive compartments in the direction of transportation of the substrate by separating walls having closeable suction openings. The compartments can be evacuated either directly by a vacuum connection provided on the compartment or indirectly via a suction opening in the separating wall. At least one compartment comprises an upper partial compartment which is arranged above the substrate. The partial compartment comprises a closeable upper opening in at least one of the outer walls thereof. The aim is to produce an elongate coating system which is flexible to use according to the requirements of various one and two-sided coating processes and ensures a stable, differential and process-optimized sputter atmosphere.
    Type: Grant
    Filed: November 4, 2004
    Date of Patent: August 17, 2010
    Assignee: Von Ardenne Anlagentechnik GmbH
    Inventors: Wolfgang Erbkamm, Dietmar Schulze, Jens Melcher, Olaf Gawer
  • Publication number: 20100202860
    Abstract: A semiconductor processing apparatus includes a reaction chamber, a loading chamber, a movable support, a drive mechanism, and a control system. The reaction chamber includes a baseplate. The baseplate includes an opening. The movable support is configured to hold a workpiece. The drive mechanism is configured to move a workpiece held on the support towards the opening of the baseplate into a processing position. The control system is configured to create a positive pressure gradient between the reaction chamber and the loading chamber while the workpiece support is in motion. Purge gases flow from the reaction chamber into the loading chamber while the workpiece support is in motion. The control system is configured to create a negative pressure gradient between the reaction chamber and the loading chamber while the workpiece is being processed.
    Type: Application
    Filed: February 9, 2009
    Publication date: August 12, 2010
    Applicant: ASM America, Inc.
    Inventors: Joseph C. Reed, Eric J. Shero
  • Publication number: 20100111650
    Abstract: Embodiments of the present invention provide method and apparatus for automatically loading substrates to a substrate carrier tray. On embodiment of the present invention provides an automatic substrate loader comprises a cassette handling mechanism, a substrate aligner configured to align a substrate, and a carrier tray aligner. The automatic substrate loader further comprises a first robot configured to transfer substrates between the substrate aligner and the substrate storage cassettes, and a second robot configured to transfer substrates between the substrate aligner and the carrier tray disposed on the carrier tray aligner.
    Type: Application
    Filed: September 23, 2009
    Publication date: May 6, 2010
    Applicant: APPLIED MATERIALS, INC.
    Inventors: DAVID H. QUACH, Tetsuya Ishikawa
  • Patent number: 7699574
    Abstract: A transfer system for use with a tool for processing a work-piece at low or vacuum pressure such as an ion implanter for implanting silicon wafers. An enclosure defines a low pressure region for processing of work-pieces placed at a work-piece processing station within the low pressure region. A two tier multiple work-piece isolation load lock transfers work-pieces from a higher pressure region to the lower pressure for processing and back to said higher pressure subsequent to said processing. A first robot transfers work-pieces within the low pressure region from the load locks to a processing station within the low pressure region. Multiple other robots positioned outside the low pressure region transfers work-pieces to and from the two tier work-piece isolation load locks from a source of said work-pieces prior to processing and to a destination of said work-pieces after said processing.
    Type: Grant
    Filed: June 20, 2007
    Date of Patent: April 20, 2010
    Assignee: Axcelis Technologies, Inc.
    Inventor: Joseph Ferrara
  • Patent number: 7677859
    Abstract: A substrate processing apparatus having a station for loading and unloading substrates from the apparatus, includes an aperture closure for sealing a loading and unloading aperture of the station, apparatus for removing a door of a substrate magazine and thus opening the substrate magazine, and for operating the aperture closure to open the aperture, and an elevator for precisely positioning the open substrate magazine along a vertical axis within a usable range of motion. The station may also include a sensor for mapping locations of the substrates, and a mini-environment for interfacing the station to a substrate processing system.
    Type: Grant
    Filed: July 21, 2003
    Date of Patent: March 16, 2010
    Assignee: Brooks Automation, Inc.
    Inventors: Ulysses Gilchrist, David R. Beaulieu, Peter Van Der Meulen
  • Patent number: 7575220
    Abstract: Embodiments of an apparatus for sealing a substrate transfer passage in a chamber are provided. In one embodiment, an apparatus for sealing a substrate transfer passage in a chamber includes an elongated door member having a convex sealing face and a backside. In another embodiment, a chamber having an apparatus for sealing a substrate transfer passage is provided that includes a chamber body having an interior volume, at least one substrate access defined through the chamber body configured to allow passage of a large area substrate therethrough, and a door member having a convex sealing face moveable between a first position that covers the substrate transfer port and a second position clear of the substrate transfer port. In yet another embodiment, the chamber body may be a load lock chamber.
    Type: Grant
    Filed: June 14, 2004
    Date of Patent: August 18, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Yoshiaki Tanase, Billy C. Leung, Gregory S. Lewis, David E. Berkstresser
  • Publication number: 20090169344
    Abstract: A substrate processing apparatus 1 has: sensors 21 and 22 provided in an etching chamber 14 and configured to detect a relative position between the etching chamber 14 and a wafer transfer mechanism 23; a control section 38 configured to correct positional displacement; a motor controller 39; a motor 28; and a motor 30. Since the positional displacement of a wafer W can be corrected, the wafer transfer mechanism 23 is capable of carrying the wafer W into the etching chamber 14 without causing any positional displacement, so that the wafer W can be placed on a susceptor 19 at a proper position.
    Type: Application
    Filed: February 27, 2009
    Publication date: July 2, 2009
    Inventors: Toshihisa Nozawa, Satoru Kawakami
  • Publication number: 20090142167
    Abstract: Provided are apparatuses and methods disclosed for wafer processing. Specific embodiments include dual wafer handling systems that transfer wafers from storage cassettes to processing modules and back and aspects thereof. Stacked independent loadlocks that allow venting and pumping operations to work in parallel and may be optimized for particle reduction are provided. Also provided are annular designs for radial top down flow during loadlock vent and pumpdown.
    Type: Application
    Filed: November 30, 2007
    Publication date: June 4, 2009
    Inventors: Chris Gage, Shawn Hamilton, Sheldon Templeton, Keith Wood, Damon Genetti
  • Publication number: 20080247845
    Abstract: A processing apparatus configured to process a substrate under a vacuum environment includes a holding unit configured to hold the substrate, a dust collection part having a surface opposite to the substrate held by the holding unit, a vacuum chamber configured to accommodate the holding unit and to have an internal space that can be decompressed, a temperature controlling unit configured to control a temperature of the surface of the dust collection part opposite to the substrate to a temperature lower than a temperature of the substrate, and a driving unit configured to bring one of the holding unit and the dust collection part close to the other after the temperature controlling unit controls the temperature of the surface of the dust collection part opposite to the substrate.
    Type: Application
    Filed: March 28, 2008
    Publication date: October 9, 2008
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Shinya Mochizuki, Takashi Kamono
  • Publication number: 20080247846
    Abstract: There is provided a method for exchanging an atmosphere of a vacuum chamber of a processing apparatus configured to process a substrate under a vacuum environment. The method includes the steps of holding the substrate using a holding unit provided in the vacuum chamber, and exchanging the atmosphere of the vacuum chamber through exhaustion or air supply, wherein the exchanging step maintains a pressure of the vacuum chamber in a range between 10 Pa and 10000 Pa for a period between 10 seconds and 600 seconds while controlling a temperature of a dust collection unit provided in the vacuum chamber lower than a temperature of the substrate.
    Type: Application
    Filed: April 4, 2008
    Publication date: October 9, 2008
    Applicant: CANON KABUSHIKI KAISHA
    Inventor: Shinya Mochizuki
  • Publication number: 20080131238
    Abstract: Modular wafer transport and handling facilities are combined in a variety of ways deliver greater levels of flexibility, utility, efficiency, and functionality in a vacuum semiconductor processing system. Various processing and other modules may be interconnected with tunnel-and-cart transportation systems to extend the distance and versatility of the vacuum environment. Other improvements such as bypass thermal adjusters, buffering aligners, batch processing, multifunction modules, low particle vents, cluster processing cells, and the like are incorporated to expand functionality and improve processing efficiency.
    Type: Application
    Filed: February 13, 2008
    Publication date: June 5, 2008
    Inventor: Peter van der Meulen
  • Publication number: 20070269295
    Abstract: The hydraulic elevation apparatus and method of the present invention incorporate a multiple chamber framework internally disposed preferably within at least one single shaft structure, which facilitates movement of object transport containers within the multiple chamber framework. Preferably, fluid elevation is employed to elevate object transport containers and their contents within the multiple chamber framework. Each single shaft structure may be constructed from an existing rehabilitated shaft, or a newly drilled shaft. The present invention may be utilized in vertical or incline lifting environments, and an auxiliary hoist chamber may be incorporated into the multiple chamber framework for use as an alternative to, or in conjunction with, elevating objects by employing fluid elevation. The present invention may be employed in a variety of heavy lifting scenarios, including underground mining or in above ground lifting environments.
    Type: Application
    Filed: May 18, 2006
    Publication date: November 22, 2007
    Inventor: Ross Guenther
  • Patent number: 7193682
    Abstract: An exposure apparatus for exposing a substrate. The apparatus includes an optical system being set at a reference temperature, for directing light to the substrate, an exposure chamber for storing the optical system in a vacuum ambience, and a load-lock chamber, disposed adjacent to the exposure chamber, for converting an ambience of a space surrounding the substrate into a vacuum ambience. When the load-lock chamber converts the ambience of the space surrounding the substrate into a vacuum ambience, the temperature of the load-lock chamber is made higher than the reference temperature.
    Type: Grant
    Filed: December 22, 2004
    Date of Patent: March 20, 2007
    Assignee: Canon Kabushiki Kaisha
    Inventor: Masami Yonekawa
  • Patent number: 7083161
    Abstract: A gate valve assembly provides vacuum isolation between a first treatment system and a second treatment system. The gate valve assembly includes an actuator rotatably coupled to at least one of the first treatment system and the second treatment system, and configured to operate an actuator assembly that has an actuator arm slidably coupled to the actuator. The actuator arm has a distal end coupled to an isolation gate through a vacuum feed-through, wherein the vacuum feed-through is configured to preserve vacuum with the first treatment system and the second treatment system. The isolation gate is configured to rotate about the gate hinge when the actuator arm is translated, and to close a transfer slot between the first treatment system and the second treatment system, or open the transfer slot between the first treatment system and the second treatment system.
    Type: Grant
    Filed: March 31, 2004
    Date of Patent: August 1, 2006
    Assignee: Tokyo Electron Limited
    Inventor: Jay Wallace
  • Patent number: 7076920
    Abstract: A pirani absolute pressure sensor for sensing absolute pressure in a load lock in a range from 100 to 10?4 torr and a differential pressure sensor for sensing a pressure difference between ambient atmospheric pressure and pressure in the load lock chamber are combined together in a module with a manifold and common circuit components to provide a pressure transducer that is capable of producing not only analog output for absolute pressure measurements, but also control signals at settable absolute and differential pressure values for opening interior and exterior doors of a load lock used to shuttle wafers and other devices into and out of a vacuum processing chamber. The transducer can also produce signals to control transition from slow to fast vacuum pumping of the load lock chamber at a settable threshold pressure.
    Type: Grant
    Filed: March 21, 2001
    Date of Patent: July 18, 2006
    Assignee: MKS Instruments, Inc.
    Inventors: Garry Holcomb, Youfan Gu, James Stafford, James M. Mueller, Stacy Wade
  • Patent number: 6916009
    Abstract: A load-lock device for introducing substrates into a vacuum chamber comprises a load-lock chamber with at least one opening on the input side for introducing the substrates from an atmosphere area located in front of the input-side opening into an interior space located inside the load-lock chamber, and at least one opening on the output side for connecting the interior space of the load-lock chamber to the interior space of a vacuum chamber with the intermediary of a valve; at least one vacuum door which is associated with a respective opening on the input side and which comprises a closure-element which communicates with a drive device via at least one carrier rod and is adjustable by the drive device between a position in which the input-side opening is open and a position in which the input-side opening is closed and in which the closure element contacts a contact surface of the load-lock chamber, wherein, considered from the atmosphere area located in front of the input-side opening, the drive device is
    Type: Grant
    Filed: July 14, 2003
    Date of Patent: July 12, 2005
    Assignee: VAT Holding AG
    Inventor: Thomas Blecha
  • Patent number: 6858119
    Abstract: An exemplary mobile plating system is provided for performing a plating process using virtually any known or available deposition technology for coating or plating as substrate. The mobile plating system may include a vacuum chamber positioned in a mobile storage volume, an external vacuum pump, and a control circuitry to control the operation of some or all of the operations of the external vacuum pump. The external vacuum pump is positioned in the mobile storage volume when the mobile plating system is in transit, and is positioned external to the mobile storage volume when the mobile plating system is stationary and in operation. The external vacuum pump may be mounted on a skid, and, in operation, the external vacuum pump couples with the vacuum chamber to assist with producing a desired pressure in the vacuum chamber.
    Type: Grant
    Filed: January 6, 2003
    Date of Patent: February 22, 2005
    Assignee: Basic Resources, Inc.
    Inventors: Jerry D. Kidd, Craig D. Harrington, Daniel N. Hopkins
  • Patent number: 6824617
    Abstract: An input/output valve switching apparatus of a semiconductor manufacturing system minimizes a vibration set up while operating an input/output valve for opening and closing a wafer-transfer passage that connects chambers of the system. The switching apparatus includes a valve actuator having a close port and an open port, a first fluid line connected to the close port, a second fluid line connected to the open port, first flow regulators installed in the first and second fluid lines, respectively, to regulate the flow rate of fluid, and second fluid flow regulators installed in the first and second fluid lines to regulate the flow rate of the fluid that has passed. The second fluid flow regulators can prevent a rapid introduction of the fluid into the actuator.
    Type: Grant
    Filed: April 25, 2002
    Date of Patent: November 30, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yun-Sik Yang, Jin-Man Kim, Young-Min Min, Chang-Hyun Jo
  • Patent number: 6755980
    Abstract: Process to remove solid slag particles from a mixture of solid slag particles and water present in a quench zone, which quench zone is part of a process for the preparation of synthesis gas by partial combustion of finely dispersed solid carbon-containing fuel with an oxygen-containing gas, by a) discharging of the mixture from the quench zone to a first vessel, (b) discharging slag particles from the first vessel to a second vessel and discharging water poor in solid slag from a second vessel, which second vessel is located below and fluidly connected to said first vessel by means of an open connecting conduit provided with pumping means and is further provided with closed means to discharge slag from its lower end, (c) fluidly closing the first vessel from the second vessel, (d) opening of the means to discharge slag from the second vessel to remove slag from the second vessel to a lower pressure zone, and (e) closing the means to discharge slag from the second vessel and repeating steps (a) to (e).
    Type: Grant
    Filed: March 26, 2002
    Date of Patent: June 29, 2004
    Assignee: Shell Oil Company
    Inventors: Isaac Cornelis Van Den Born, Johannes Gerardus Maria Schilder
  • Patent number: 6742977
    Abstract: An unprocessed substrate is conveyed to a film-processing chamber at the same time a processed substrate is conveyed to a substrate preparation chamber, reducing the substrate processing cycle, thereby increasing the yield per unit time. The substrate preparation chamber has a two-tiered structure for receiving processed substrates and unprocessed substrates. A two-tiered transfer robot allows the substrates to be removed or placed into the preparation and process chambers at the same time, thus decreasing the cycle time for processing a substrate.
    Type: Grant
    Filed: February 15, 2000
    Date of Patent: June 1, 2004
    Assignee: Kokusai Electric Co., Ltd.
    Inventors: Satohiro Okayama, Motoichi Kanazawa, Takeshige Ishida, Tomohiko Takeda, Yukio Akita, Satoru Ichimura, Kazunori Suzuki, Teruo Yoshino, Tokunobu Akao, Yasunobu Nakayama
  • Patent number: 6619901
    Abstract: An apparatus for air guidance in a processing chamber has a housing with an entrance gate and an exit gate. Inside the housing the processing chamber is embodied for filling and sealing small bottles or ampules. The processing chamber is separated from an outside region via an intermediate region. The intermediate region having a movable door by means of which manual interventions in the processing chamber can be made. The apparatus also has a ventilation system with a blower and a clean-air filter which inside the processing chamber generates a laminar air flow. By means of special air pressure ratios in the processing chamber the intermediate region the entrance gate and the exit gate, the occurrence of contamination, or its exceeding a tolerable amount, in the processing chamber (12) and the outside region is avoided.
    Type: Grant
    Filed: January 23, 2002
    Date of Patent: September 16, 2003
    Assignee: Robert Bosch GmbH
    Inventors: Berthold Duethorn, Thomas Hertfelder, Eberhard Jost, Lothar Gail
  • Publication number: 20030136670
    Abstract: An exemplary method for using a mobile plating system is provided that includes locating the mobile plating system at a desired location for plating, positioning an external vacuum pump from an interior position of a mobile storage volume of the mobile plasma plating system to an exterior position, and coupling the external vacuum pump to a vacuum chamber within the mobile storage volume of the mobile plasma plating system using a flexible piping segment, rigid coupling with a dampening effect, or other arrangement operable to reduce and/or eliminate the mechanical vibrations within the vacuum chamber due to the operation of the external vacuum pump.
    Type: Application
    Filed: January 6, 2003
    Publication date: July 24, 2003
    Inventors: Jerry D. Kidd, Craig D. Harrington, Daniel N. Hopkins
  • Publication number: 20030121776
    Abstract: An exemplary mobile plating system is provided for performing a plating process using virtually any known or available deposition technology for coating or plating as substrate. The mobile plating system may include a vacuum chamber positioned in a mobile storage volume, an external vacuum pump, and a control circuitry to control the operation of some or all of the operations of the external vacuum pump. The external vacuum pump is positioned in the mobile storage volume when the mobile plating system is in transit, and is positioned external to the mobile storage volume when the mobile plating system is stationary and in operation. The external vacuum pump may be mounted on a skid, and, in operation, the external vacuum pump couples with the vacuum chamber to assist with producing a desired pressure in the vacuum chamber.
    Type: Application
    Filed: January 6, 2003
    Publication date: July 3, 2003
    Inventors: Jerry D. Kidd, Craig D. Harrington, Daniel N. Hopkins
  • Patent number: 6551044
    Abstract: A loadlock chamber for a semiconductor processing apparatus comprises an index platform or registration plate, a shaft extending through a wall of the chamber to actuate the platform, and a bellows located on the outside of the chamber to isolate the shaft from the external environment. A seal is placed between the bottom of the registration plate and the wall of the chamber so as to isolate the space under the registration plate and within the bellows from the remainder of the chamber when the platform is in a fully lowered position. A gutter is formed in a wall of the chamber below the registration plate to catch particulate matter, such as broken wafer particles. A method of accessing the interior of a loadlock chamber limits exposure of the space within the bellows to the external environment.
    Type: Grant
    Filed: September 14, 1999
    Date of Patent: April 22, 2003
    Assignee: ASM America, Inc.
    Inventor: Ronald R. Stevens
  • Patent number: 6517691
    Abstract: A substrate processing system includes a primary processing assembly and secondary processing assembly. The secondary processing assembly has one or more interconnected modules and includes one or more process stations. The primary and secondary processing assemblies are connected by a vacuum conveyor, so that the substrates remain in vacuum during transport. The secondary processing assembly may include one or more modules which are interconnected to provide a desired system configuration. A dual processing module, including first and second process stations, is selectably operable in a serial mode or a parallel mode.
    Type: Grant
    Filed: May 1, 2000
    Date of Patent: February 11, 2003
    Assignee: Intevac, Inc.
    Inventors: Terry Bluck, John Les Hughes, Eric C. Lawson, Tatsuru Tanaka
  • Patent number: 6503379
    Abstract: An exemplary mobile plating system and method are provided for performing a plating process using virtually any known or available deposition technology for coating or plating. The mobile plating system may include a vacuum chamber positioned in a mobile storage volume, an external vacuum pump, and a control module to control the operation of some or all of the operations of the external vacuum pump. The external vacuum pump is positioned in the mobile storage volume when the mobile plating system is in transit, and is positioned external to the mobile storage volume when the mobile plating system is stationary and in operation. The external vacuum pump may be mounted on a skid, and, in operation, the external vacuum pump couples with the vacuum chamber to assist with producing a desired pressure in the vacuum chamber.
    Type: Grant
    Filed: May 22, 2000
    Date of Patent: January 7, 2003
    Assignee: Basic Research, Inc.
    Inventors: Jerry D. Kidd, Craig D. Harrington, Daniel N. Hopkins
  • Patent number: 6394733
    Abstract: A substrate body transfer apparatus which is capable of isolating the atmospheres of an external processing apparatus and an air conveyance apparatus and preventing the mutual contamination thereof, and which is capable of conducting the transfer of substrate bodies at a low level of particulate matter and contamination which satisfies the ultra-clean level. The substrate body transfer apparatus is provided with a vacuum container having an entrance and exit which communicate with the interior space of an air conveyance apparatus and the interior space of an external processing apparatus; a horizontal disc-shaped upper part valve and lower part valve are housed within the vacuum container and after the substrate body has been placed in a space surrounded by the upper and lower valves and this space has been sealed, the space is evacuated or the gas therein is replaced.
    Type: Grant
    Filed: January 12, 2001
    Date of Patent: May 28, 2002
    Assignee: Kabushiki Kaisha Watanabe Shoko
    Inventors: Masayuki Toda, Masaki Kusuhara, Masaru Umeda, Michio Yagai
  • Patent number: 6358377
    Abstract: A sputter coating apparatus includes at least a first sputter coating line and a second sputter coating line. The first and second sputter coating lines may be operated in parallel with one another in certain embodiments in order to independently form coating systems and respective coated articles. However, the two coating lines may also be utilized so as to operate in series with one another to form a coated article. In the latter case, a transition zone is provided between an end of the first line and an end of the second line so as to selectively couple an output of the first line to an input of the second line when it is desired to utilize the two sputter coating lines in series with one another. In such a manner, it is possible to avoid many of the inefficiencies associated with conventional sputter coating apparatuses and processes.
    Type: Grant
    Filed: December 7, 2000
    Date of Patent: March 19, 2002
    Assignee: Guardian Industries Corp.
    Inventors: Marcel Schloremberg, Jean-Marc Lemmer