Of Charging Load-holding Or -supporting Element From Source And Transporting Element To Working, Treating, Or Inspecting Station Patents (Class 414/806)
  • Patent number: 11853962
    Abstract: The present application relates to track barcode automatic mounting system and method of an automatic material handling system (AMHS), which system comprises: a running track, at whose position corresponding to an unloading port is provided a barcode mounting region; an overhead hoist transport, installed on the running track, and being moveable along the running track; a locating device, for determining whether the overhead hoist transport has moved to a designated barcode mounting region; a barcode automatic printing and mounting device, disposed on the overhead hoist transport; and a manually operated controller, for sending a movement instruction to the overhead hoist transport to control the overhead hoist transport to move to the designated barcode mounting region, and sending a print confirmation instruction to the barcode automatic printing and mounting device after the overhead hoist transport has moved to the designated barcode mounting region.
    Type: Grant
    Filed: November 18, 2021
    Date of Patent: December 26, 2023
    Assignee: CHANGXIN MEMORY TECHNOLOGIES, INC.
    Inventor: Tong Lu
  • Patent number: 11494942
    Abstract: An information processing device includes: an acquisition unit that acquires feature information of a target depicted in images; a storage unit that stores registration information containing feature information of registered targets; and a distinction unit that distinguishes, on a basis of a result of identification of the feature information acquired by the acquisition unit and the feature information contained in the registration information, one registered target of the registered targets, the one registered target corresponding to the target in the images. The registration information contains zip codes of sites relating to the registered targets. The distinction unit identifies a zip code of a site relating to the target in the images and zip codes contained in registration information with each other, and distinguishes one registered target corresponding to the target in the images using the result of identification of the feature information and using the identification of the zip codes.
    Type: Grant
    Filed: November 10, 2020
    Date of Patent: November 8, 2022
    Assignee: PATIC TRUST CO., LTD.
    Inventors: Tetsuo Maruyama, Yoshikazu Kato
  • Patent number: 11295936
    Abstract: The substrate treating apparatus includes a processing module and an index module on which a cassette having the substrate received therein is placed and that includes an index robot that transfers the substrate between the cassette and the processing module. The processing module includes a process chamber and a transfer chamber. The process chamber includes a support unit. The support unit includes a support on which the substrate is placed and a ring member that surrounds the substrate placed on the support and that is provided so as to be detachable from the support. The apparatus further includes a carrier storage unit that stores a carrier that is mounted on a hand of the main transfer robot or the index robot and on which the ring member is placed when the ring member is transferred by the main transfer robot or the index robot.
    Type: Grant
    Filed: February 18, 2020
    Date of Patent: April 5, 2022
    Assignee: Semes Co., Ltd.
    Inventors: Dukhyun Son, Byung Kyu Kim
  • Patent number: 11088013
    Abstract: A device for transferring chips from a wafer to a placement head of an automatic placement machine. The device includes a removal tool rotatable about a first axis of rotation (i) for the removing of singulated chips from the wafer, (ii) for turning the chips to provide them as FCOB chips at a first collection position, and (iii) for transferring, at a common transfer position, the chips to a turning tool rotatable about a second axis of rotation; and the rotatable turning tool (i) for receiving of chips from the removal tool, and (ii) for again turning the received chips, in order to provide them as COB chips at a second collection position. The removal tool has a plurality of first grippers, which are arranged protruding radially from the first axis of rotation in a first plane. The turning tool has a plurality of second grippers, which are arranged radially protruding from the second axis of rotation in a second plane.
    Type: Grant
    Filed: October 18, 2018
    Date of Patent: August 10, 2021
    Inventors: Sebastian Lohmeier, Thomas Rossmann, Michele Trigiani
  • Patent number: 11049740
    Abstract: A mainframe of a device fabrication system includes a base, a plurality of facets on the base, an a lid over the plurality of facets. A first facet of the plurality of facets includes a frame. The base, the lid and the plurality of facets together define an interior volume that includes a robot arm. A first replaceable interface plate is attached to the first frame of the first facet. The first replaceable interface plate includes a plurality of substrate access ports. A first substrate access port of the plurality of substrate access ports is configured to provide access for the robot arm to a first process chamber. A second substrate access port of the plurality of substrate access ports is configured to provide access for the robot arm to a second process chamber.
    Type: Grant
    Filed: March 6, 2020
    Date of Patent: June 29, 2021
    Assignee: Applied Materials, Inc.
    Inventor: Michael R. Rice
  • Patent number: 10798860
    Abstract: A component mounting system includes an automatic loading-type tape feeder (a component supply unit) being capable of supplying up to N (N is a natural number equal to or larger than 1) carrier tapes for storing a component, and automatically transporting an inserted carrier tape, and a component mounting apparatus which mounts the component supplied from the tape feeder on a substrate, in which a replenishing operation of carrier tape with respect to the tape feeder is detected, and a case where a carrier tape with which the tape feeder is to be replenished is an (N+1)-th is notified to an operator.
    Type: Grant
    Filed: November 30, 2016
    Date of Patent: October 6, 2020
    Assignee: PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
    Inventors: Nobuto Yasuhira, Ryouji Eguchi, Tatsuo Yamamura
  • Patent number: 10712732
    Abstract: To reduce the working hours of a user in an operation and improve an operation rate in an industrial network system. A communication setting change part is provided which automatically changes, in a timing of an end effector replacement, the communication setting in a communication part according to the communication information that corresponds to an end effector.
    Type: Grant
    Filed: September 11, 2018
    Date of Patent: July 14, 2020
    Assignee: OMRON Corporation
    Inventors: Ziqiang Xu, Junji Shimamura, Hirohito Mizumoto
  • Patent number: 10418262
    Abstract: An apparatus for conveying a substrate includes a base along which the substrate is conveyed, a first upward gas ejecting section, a second upward gas ejecting section and a third upward gas ejecting section disposed over the base, the third upward gas ejecting section being disposed between the first and second upward gas ejecting sections, and a first downward gas ejecting section and a second downward gas ejecting section disposed above and facing respective portions of the third upward gas ejecting section. Gas ejected upward from the first, second and third upward gas ejecting sections floats the substrate. The substrate is subjected to pressure by gas ejected downward from the first and second downward gas ejecting sections. The first and second downward gas ejecting sections are spaced to provide a working area therebetween and through which the substrate is irradiated with a laser beam.
    Type: Grant
    Filed: November 9, 2018
    Date of Patent: September 17, 2019
    Assignee: THE JAPAN STEEL WORKS, LTD.
    Inventors: Yuki Suzuki, Sadao Tanigawa
  • Patent number: 9721819
    Abstract: The invention relates to a method for mounting semiconductor chips provided with bumps as flip chips on substrate locations of a substrate. The method comprises the placing of a flip chip in a cavity arranged in a stationary manner where the bumps are wetted with a fluxing agent and the position of the flip chip is determined by means of a camera. The method further comprises the use of a transport head and a bonding head, which allow rapid and highly precise mounting.
    Type: Grant
    Filed: August 29, 2016
    Date of Patent: August 1, 2017
    Assignee: BESI SWITZERLAND AG
    Inventor: Florian Speer
  • Patent number: 9502276
    Abstract: A system for processing substrates in plasma chambers, such that all substrates transport and loading/unloading operations are performed in atmospheric environment, but processing is performed in vacuum environment. The substrates are transported throughout the system on carriers. The system's chambers are arranged linearly, such that carriers move from one chamber directly to the next. A conveyor, placed above or below the system's chambers, returns the carriers to the system's entry area after processing is completed. Loading and unloading of substrates may be performed at one side of the system, or loading can be done at the entry side and unloading at the exit side.
    Type: Grant
    Filed: April 26, 2013
    Date of Patent: November 22, 2016
    Assignee: INTEVAC, INC.
    Inventors: Terry Bluck, Vinay Shah, Alex Riposan
  • Patent number: 9332682
    Abstract: In an electronic component mounting method, electronic components are picked up from a component supply unit in which first and second tray supply mechanisms are arranged, and mounted on substrates. In the method, a first substrate and a second substrate different in type from the first substrate are transported by first and second substrate transport lanes, respectively, and a first component to be mounted on the first substrate and a second component to be mounted on the second substrate are supplied by the first and second tray supply mechanisms, respectively. If use stop setting indicating that the pickup of the electronic components is no longer conducted is enabled in one tray supply mechanism, the controller prohibits an access of a mounting head to the one tray supply mechanism, and permits an operation access of an operator to a tray housing unit of the one tray supply mechanism.
    Type: Grant
    Filed: June 21, 2011
    Date of Patent: May 3, 2016
    Assignee: PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
    Inventors: Teppei Kawaguchi, Takahiro Noda, Takuya Yamazaki, Toru Chikuma, Yoshiyuki Hattori
  • Patent number: 9257317
    Abstract: The present invention provides an overhead hoist transport system, which includes a rail, a stocker disposed under the rails, wherein the stocker can move along the direction of the rail, and a cleaning station, disposed on the rail to clean the stocker directly when the stocker is moving.
    Type: Grant
    Filed: December 31, 2013
    Date of Patent: February 9, 2016
    Assignee: INOTERA MEMORIES, INC.
    Inventors: Chi-Ming Lee, Tsan-I Chen
  • Patent number: 9230838
    Abstract: A storage apparatus for objects in the manufacture of substrates, in particular of substrates for electronic components, is provided with a housing which forms at least one closed area for storage of the objects. The storage apparatus also has a pure air device by which a pure air atmosphere can be produced at least within a section of the housing. Using at least one input/output device for the storage apparatus, objects can be passed into the interior of the housing or removed from the interior, in which case the objects can be handled by at least one handling device in the interior of the housing, and object receptacles are provided within the housing, in which objects can be temporarily stored outside transport containers.
    Type: Grant
    Filed: July 20, 2007
    Date of Patent: January 5, 2016
    Assignee: TEC-SEM AG
    Inventors: Erich Jäger, Doris Jäger
  • Patent number: 9136152
    Abstract: A substrate transport apparatus includes a first fork which is disposed to be movable in a forward/backward direction to a substrate holding part to transport a stacked member to or receive the stacked member from the substrate holding part. A second fork is arranged to be reversible and disposed above the first fork to be movable in a forward/backward direction to an accommodating part that accommodates substrates and spacer members to transport a substrate or a spacer member between the accommodating part and the first fork. A first grip module is disposed on a first surface of the second fork to hold and support the substrate from an upward direction. A second grip module is disposed on the first surface of the second fork on the same side as the first grip module to hold and support the spacer member from an upward direction.
    Type: Grant
    Filed: March 2, 2012
    Date of Patent: September 15, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Katsuhiko Oyama, Yasushi Takeuchi
  • Publication number: 20150147143
    Abstract: There is provided a tape feeder that feeds a carrier tape to supply components to a component suction position for a mounting head in a component mounting apparatus. The tape feeder includes a first tape feed mechanism which is provided in a downstream side and feeds a preceding tape to the component suction position, and a second tape feed mechanism provided in an upstream side and feeds a following tape from a tape introducing port side toward the first tape feed mechanism. The tape feeder also includes a tape slipping-off informing unit which informs the component mounting apparatus that the following tape is slipped off from the second tape feed mechanism. For example, the tape slipping-off informing unit decides that the following tape is slipped off when a rotation of a sprocket of the second tape feed mechanism in an opposite direction is detected.
    Type: Application
    Filed: November 25, 2014
    Publication date: May 28, 2015
    Inventors: Junkei SHIMIZU, Kazunori KANAI, Masayuki HIGASHI, Tatsuo YAMAMURA
  • Publication number: 20150147142
    Abstract: The present disclosure concerns a transport vehicle for handling a rotor blade mold for the production of a rotor blade of a wind power installation or a shell portion of a rotor blade of a wind power installation, adapted for use in a handling apparatus. The handling apparatus includes a first rail set for displacement of the transport vehicle in a first direction, and a second rail set for displacement of the transport vehicle in a second direction. In addition the transport vehicle includes a first wheel set including a plurality of wheels for movement on the first rail set, and a second wheel set including a plurality of wheels for movement on the second rail set.
    Type: Application
    Filed: July 1, 2013
    Publication date: May 28, 2015
    Inventors: Arno Georgs, Herbert Biebl, Rainer Schluter
  • Publication number: 20150136108
    Abstract: A splitting apparatus comprising a first splitting blade having a smooth top with a width X and a shoulder angle of less than the friction angle, relative to a point in the middle of the top, and a second splitting blade disposed opposite the first splitting blade, the second splitting blade having a smooth top with a width Y and a shoulder angle of less than the friction angle, relative to a point in the middle of the top.
    Type: Application
    Filed: November 18, 2014
    Publication date: May 21, 2015
    Inventor: William H. Karau
  • Publication number: 20150139758
    Abstract: The purpose of the present invention is to accurately deal with a variety of processing conditions and variations thereof, and to improve total throughput by efficiently operating a conveyance arm device in accordance with the processing conditions, even during cleaning. When a first wafer is loaded on a load-lock chamber, a conveyance-sequence category for operating each of a number of steps for a conveyance arm device capable of operating during cleaning is selected in accordance with processing conditions of the wafer, and a plurality of operation patterns are selected, combined and scheduled. The conveyance arm device is controlled in accordance with the scheduled conveyance sequence to control substrate conveyance.
    Type: Application
    Filed: June 5, 2013
    Publication date: May 21, 2015
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Nobuaki Ito, Hiroki Oka
  • Patent number: 9033647
    Abstract: A system for aligning an end effector with a substrate in a substrate transport apparatus. The system comprises a first sensor connected to the end effector and a controller for moving the substrate transport apparatus. The sensor has a sensing path pointed in an outward direction. The sensing path does not intersect the substrate when the substrate is located on the end effector. The controller for moving the substrate transport apparatus moves the substrate transport apparatus, based at least partially upon input from the sensor, to position the end effector at a predetermined position relative to the substrate to pick up the substrate onto the end effector.
    Type: Grant
    Filed: July 8, 2009
    Date of Patent: May 19, 2015
    Assignee: Brooks Automation, Inc.
    Inventors: Christopher A. Hofmeister, Mark Saunders
  • Publication number: 20150128856
    Abstract: A dispenser, which is configured to dispense viscous material on a substrate, includes a frame, a gantry system coupled to the frame, and a dispensing unit coupled to the gantry system. The gantry system is configured to move the dispensing unit in x-axis, y-axis, and z-axis directions. The dispenser further includes a substrate support assembly coupled to the frame and configured to support the substrate to dispense material on the substrate in a dispense position, and a transport system configured to transport the substrate to the dispense position and to remove the substrate from the dispense position. The transport system includes a first pusher assembly configured to move the substrate within the dispenser. A transport system and methods of dispensing material on a substrate are further disclosed.
    Type: Application
    Filed: November 14, 2013
    Publication date: May 14, 2015
    Applicant: Illinois Tool Works Inc.
    Inventors: Dennis G. Doyle, Thomas E. Robinson
  • Publication number: 20150132087
    Abstract: A system and method for receiving, handling and storing used rolls following unwinding of sheet material therefrom includes: (a) transferring the cores to a remnant cleaning station, (b) inspecting for (i) remnant material, (ii) repairable damage or (iii) irreparable damage; and (c) for those cores cleaned, repaired, or satisfactory as is, transferring them to a storage rack for the specific size and length.
    Type: Application
    Filed: January 15, 2015
    Publication date: May 14, 2015
    Applicant: Five Brothers Properties, LTD
    Inventors: Daniel J. Pienta, David M. Pienta
  • Patent number: 9022714
    Abstract: A substrate processing system and substrate transferring method capable of transferring a substrate bi-directionally through the use of substrate transferring device provided between two rows of processing chambers arranged linearly, thereby improving the substrate-transferring efficiency, the substrate processing system includes a transfer chamber having at least one bi-directional substrate transferring device for bi-directionally transferring a substrate; and a plurality of processing chambers for applying a semiconductor-manufacturing process to the substrate, wherein the plurality of processing chambers are linearly arranged along two rows confronting each other, and the transfer chamber is interposed between the two rows of the processing chambers, wherein the bi-directional substrate transferring device have a moving unit inside the transfer chamber, and horizontally moved by a linear motor; and a bi-directional substrate transferring unit in the moving unit, the bi-directional substrate transferring u
    Type: Grant
    Filed: January 12, 2010
    Date of Patent: May 5, 2015
    Assignee: Jusung Engineering Co., Ltd.
    Inventors: Kyoo Hwan Lee, Duck Won Moon, Jae Wook Choi
  • Publication number: 20150114123
    Abstract: A gripper unit for handling a vessel for receiving biological material is proposed, inter alia. The vessel has a lid which can assume an open position and a closed position. The gripper unit comprises a gripper for gripping and releasing the vessel, and a lid holder, for holding a lid in a defined position in relation to the vessel. The defined position is an open position of the lid.
    Type: Application
    Filed: November 24, 2014
    Publication date: April 30, 2015
    Inventors: Andreas SCHAEFER, Thomas VOIT, Walter TSCHOPP, Adrian GEIGER, Markus ZBINDEN, Harald HIBBING, Andreas KARL, Frank EIGEMEIER, Volker BEHRMANN, Dietmar KOPP, Andreas SCHMIEDE
  • Publication number: 20150105005
    Abstract: A chemical mechanical polishing system is provided. The chemical mechanical polishing system includes a platen, a load cup, a hub, a first polishing arm cantilevered from the hub and rotatable around the centerline of the hub between the platen and load cup, and a second polishing arm cantilevered from the hub and rotatable around the centerline of the hub between the platen and load cup the second arm rotatable independently from the hub.
    Type: Application
    Filed: October 9, 2014
    Publication date: April 16, 2015
    Inventors: Steven M. ZUNIGA, Chih Hung CHEN, Jay GURUSAMY
  • Publication number: 20150101754
    Abstract: Provided is an apparatus for treating a substrate. The apparatus for treating the substrate includes a carrying unit between a second chamber and a loadlock chamber. The carrying unit includes an arm, a blade for supporting the substrate, and a rotation driver for rotating the arm. The carrying unit disposed between the second chamber and the loadlock chamber receives the substrate transferred in the loadlock chamber to transfer the substrate onto a substrate supporter in the second chamber.
    Type: Application
    Filed: October 2, 2014
    Publication date: April 16, 2015
    Inventor: Seung Kook YANG
  • Patent number: 9003642
    Abstract: The present invention describes a method of and an apparatus for including: separating magnets and corresponding target plates; separating a lower support assembly from an upper retainer assembly of a thin-wafer handling system; and inserting or removing a thin wafer from the thin-wafer handling system.
    Type: Grant
    Filed: August 30, 2012
    Date of Patent: April 14, 2015
    Assignee: Intel Corporation
    Inventors: Jeffrey Wienrich, Charles Singleton
  • Patent number: 9008817
    Abstract: Disclosed is a substrate positioning apparatus capable of accurately performing positioning of a center of a circular-shape substrate with respect to a rotating shaft. The substrate positioning apparatus includes: a substrate disposing part; a first positioning mechanism including a first reference part contacting a side of the substrate; a second positioning mechanism including a second reference part contacting the side of the substrate; a first driver configured to drive the first positioning mechanism; a controller configured to control the drive of the first positioning mechanism. In particular, the second reference part contacts the substrate at a contact part and includes an elastic part that applies force in a moving direction of the first driver to the contact part and a detector that detects position information of the second positioning mechanism.
    Type: Grant
    Filed: May 10, 2011
    Date of Patent: April 14, 2015
    Assignee: Tokyo Electron Limited
    Inventor: Yoshifumi Amano
  • Patent number: 9005542
    Abstract: The storage arrangement has a chamber. Several Dewar flasks are arranged in the chamber and above them a picking device. The picking device has at least one cassette lift, with which storage cassettes can be removed from above from the Dewar flasks. This arrangement is suitable for storing laboratory objects at very low temperatures.
    Type: Grant
    Filed: November 23, 2011
    Date of Patent: April 14, 2015
    Assignee: Liconic AG
    Inventor: Cosmas G. Malin
  • Publication number: 20150098772
    Abstract: An overhead catalyst loading device eliminates the need for lower flanges of the overhead catalyst structural support frame or dedicated overhead trolley beams to prevent ash build up collecting on a selective catalytic reactor (SCR) reactor box and provides an advantageous electric hydraulic lifting mechanism suitable for integration into a SCR device. The overall width of the SCR device is reduced since the structural support frame in the SCR device fits in the gaps between catalyst blocks. The overhead electric hydraulic catalyst loading device also minimizes the chance of injury during catalyst block installation by using an electric hydraulic actuated lifting mechanism consisting of spacers and structural telescopic members which may comprise holes and pins for height adjustment to allow for loading and unloading catalyst blocks into and out of a SCR device. Methods of lifting and loading and unloading a catalyst block are also presented therein.
    Type: Application
    Filed: October 6, 2014
    Publication date: April 9, 2015
    Inventors: Norman D. Nelson, Adam N. DePizzo, Walter L. Calhoun
  • Publication number: 20150078865
    Abstract: A positioning apparatus to position an object defining a receiving space includes a bracket, a positioning block, a positioning sensor, a number of positioning suction nozzles, a row of light transmitters, a row of light sensors, and a controller electrically coupled to the positioning sensor and the light sensors. The bracket includes a supporting plate. The positioning block is mounted on the supporting plate. The positioning sensor and positioning suction nozzles are installed to the positioning block. The light transmitters and the light sensors are mounted on two opposite sides of the supporting plate. The object is supported on the supporting plate, and the positioning block is received in the receiving space of the object. The controller controls the positioning suction nozzles to suck the object. The row of light transmitters and the row of light sensors are used for testing whether the object is positioned or not.
    Type: Application
    Filed: October 17, 2013
    Publication date: March 19, 2015
    Applicants: HON HAI PRECISION INDUSTRY CO.,LTD., HONG FU JIN PRECISION INDUSTRY (ShenZhen) CO., LTD
    Inventor: GUANG-JIAN WANG
  • Publication number: 20150071742
    Abstract: It is an object of the embodiment of the invention to enhance the work efficiency of a substrate transfer test between a plurality of units. A test control section (CPU) which is provided in a loading/unloading unit 2 performs a substrate transfer test for the loading/unloading unit 2 alone by receiving a wafer mounted on a substrate table 2300 or 2400 which is installed outside the loading/unloading unit 2 and transporting the wafer into the loading/unloading unit 2 by a transport mechanism or transporting a wafer placed in the loading/unloading unit 2 to a substrate table 2200 and mounting the wafer on the substrate table 2200 by the transport mechanism while the loading/unloading unit 2 is not assembled together with the cleaning unit and the polishing unit.
    Type: Application
    Filed: September 10, 2014
    Publication date: March 12, 2015
    Inventors: Shunsuke MATSUZAWA, Masafumi INOUE
  • Publication number: 20150063957
    Abstract: Embodiments of the present disclosure provide apparatus and methods for loading and unloading a multiple-substrate processing chamber segment by segment. One embodiment of the present disclosure provides an apparatus for processing multiple substrates. The apparatus includes a substrate supporting tray having a plurality of substrate pockets forming a plurality of segments, and a substrate handling assembly configured to pick up and drop off substrates from and to a segment of substrate pockets of the substrate supporting tray.
    Type: Application
    Filed: November 7, 2014
    Publication date: March 5, 2015
    Inventor: Donald OLGADO
  • Publication number: 20150053059
    Abstract: A rotary slide moves blanks cyclically from stage to stage of a cutting and processing tool, Work pieces are transferred in and out of the tool, without moving the slide in and out of the tool. The slide has a plurality of transfer openings and a discharge opening. individual processing stages, including a discharge stage, are designed as separate components that support one another. The stages are arranged about a rotating axis of the slide. The transfer openings and the discharge opening lie in a circular path that coincides with circular paths of active elements of the cutting stage, the processing stages and the discharge stage. The openings have a distance from one another that is identical to the distance of the active elements in the circular paths.
    Type: Application
    Filed: August 25, 2014
    Publication date: February 26, 2015
    Inventors: Andreas MARTI, Patrick HOFER
  • Publication number: 20150050118
    Abstract: Methods and apparatus for rapid thermal processing of a planar substrate including axially aligning the substrate with a substrate support or with an empirically determined position are described. The methods and apparatus include a sensor system that determines the relative orientations of the substrate and the substrate support.
    Type: Application
    Filed: October 31, 2014
    Publication date: February 19, 2015
    Inventors: Khurshed Sorabji, Joseph M. Ranish, Wolfgang Aderhold, Aaron M. Hunter, Blake R. Koelmel, Alexander N. Lerner, Nir Merry
  • Publication number: 20150030418
    Abstract: A processing station for two-dimensional substrates including at least two processing units and at least two conveyor lines for substrates arranged in parallel to another, wherein both the processing units are placed between the two conveyor lines, and an arrangement for moving the substrates from the conveyor lines to the processing units and back is provided. The arrangement includes four linear conveyor units each having at least one substrate support, wherein a first linear conveyor unit leads from the second conveyor line to the first processing unit, a second linear conveyor unit leads from the first conveyor line to the first processing unit, a third linear conveyor unit leads from the first conveyor line to the second processing unit, and a fourth linear conveyor unit leads from the second conveyor line to the second processing unit.
    Type: Application
    Filed: February 25, 2013
    Publication date: January 29, 2015
    Inventors: Michael Reichenbach, Markus Bau
  • Publication number: 20150010381
    Abstract: A wafer processing chamber and a method for transferring wafer in the same are provided to prevent the arcing issue. In the embodiments, a wafer is positioned on the focus ring, and a lifting apparatus is provided outside the wafer such as corresponding to the focus ring. The lifting apparatus of the embodiment could be positioned below or above the focus ring. The wafer and the focus ring are lifted together by the lifting apparatus, and transferred together by a transferring unit.
    Type: Application
    Filed: July 8, 2013
    Publication date: January 8, 2015
    Inventor: Yan Cai
  • Publication number: 20140377039
    Abstract: Provided is a substrate treating apparatus. The substrate treating apparatus includes an equipment front end module, a loadlock chamber, a transfer chamber, and a plurality of process chambers. The loadlock chamber includes a cooling unit for cooling a substrate treated in the process chambers, and the cooling unit includes a cooling chamber having an inner space, the cooling chamber having a gas inflow hole in one surface thereof, wherein support pins on which the substrate is placed are disposed around a circumference of the gas injection hole, a cooling gas injection part supplying a cooling gas toward the gas inflow hole, and a gas exhaust part exhausting the cooling gas supplied into the cooling chamber and fumes generated from the substrate to the outside of the cooling chamber.
    Type: Application
    Filed: June 13, 2014
    Publication date: December 25, 2014
    Applicant: PSK INC.
    Inventors: Seung-Kook YANG, Seong-wook LEE, Dae-hee SON
  • Publication number: 20140373781
    Abstract: An approach is provided to cause an operation comprising one or more of a substrate tamping process, a substrate offset process, and a mechanism actuation process. The approach involves determining an instruction to cause the operation. The approach also involves causing a movement of one or more of a slide element and a shaft based on the instruction. The slide element and the shaft are configured to move in a first direction and a second direction along a length of the shaft. The movement in the first direction and the second direction of one or more of the slide element and the shaft corresponds to the operation.
    Type: Application
    Filed: June 25, 2013
    Publication date: December 25, 2014
    Inventors: Brian J. DUNHAM, Carlos Manuel TERRERO
  • Publication number: 20140374307
    Abstract: A system and method for controlling transportation of a substrate of a liquid crystal panel is disclosed. Said method comprises: putting the substrate of the liquid crystal panel into a transport apparatus; humidifying the transport apparatus having the substrate of the liquid crystal panel placed thereinto by utilizing a humidifying apparatus to form a mist in the transport apparatus; and transporting the substrate of the liquid crystal panel by utilizing the humidified transport apparatus. The present invention prevents the substrate of the liquid crystal panel from being damaged by electrostatic charges and ensures product percent of pass.
    Type: Application
    Filed: March 15, 2012
    Publication date: December 25, 2014
    Applicant: Shenzhen China Star Optoelectronics Technology Co. Ltd.
    Inventors: Minghu Qi, Chun-hao Wu, Kun-hsien Lin, Yongqiang Wang, Zhenghong Chen, Weibing Yang, Erqing Zhu, Zhenhua Guo
  • Patent number: 8911197
    Abstract: The invention relates to a method and to an apparatus for the rapid transport of glass sheets between different manufacturing stations in a spacious manufacturing plant for producing photovoltaic elements, wherein said manufacturing plant is subject to clean room conditions, comprising the following features: a) a freely movable mounting for conveying a lifting portal, b) at least one vertical lifting carriage for vertically transporting a glass sheet by means of a telescoping jack plate, wherein said plate can be extended in two opposite directions, has a local lowering and raising function and can transport a glass sheet continuously from one side of the vertical lifting carriage to the other side, c) for operation, the mechanically moved parts are encapsulated free of emissions in a clean room and made of abrasion-proof material, d) a cordless energy supply unit for operating the mounting.
    Type: Grant
    Filed: November 26, 2009
    Date of Patent: December 16, 2014
    Assignee: Grenzebach Maschinenbau GmbH
    Inventor: Thomas Rossmann
  • Publication number: 20140363259
    Abstract: An apparatus for handling sample tubes is presented. The apparatus comprises a sample tube tray, a sample tube individualizer, a first conveyor, a second conveyor, a sample tube buffer, and a sample tube rack inserter. The sample tube tray stores sample tubes in bulk commodity. The sample tube individualizer sequentially unloads single sample tubes from the sample tube tray and sequentially provides the unloaded sample tubes to the first conveyor. The first conveyor conveys the sample tubes to the sample tube buffer. The sample tube buffer buffers the sample tubes provided by the first conveyor and provides buffered sample tubes to the second conveyor with a predeterminable, constant, sample tube rate. The second conveyor conveys the sample tubes to the sample tube rack inserter. The sample tube rack inserter inserts the sample tubes into a sample tube rack.
    Type: Application
    Filed: June 3, 2014
    Publication date: December 11, 2014
    Applicant: Roche Diagnostics Operations, Inc.
    Inventors: Tom Lorenzen, Reto Andrin Meier
  • Publication number: 20140361197
    Abstract: A workpiece carrier comprises a first plate having a first outer diameter, a first inner diameter, and a first recess extending a first distance from the first inner diameter toward the first outer diameter. The workpiece carrier further comprises a second plate having a second outer diameter, a second inner diameter, and a second recess extending a second distance from the second inner diameter toward the second outer diameter. A plurality of mating features associated with the first plate and second plate are configured to selectively fix a position of a first workpiece between the first plate and second plate within the first recess and second recess.
    Type: Application
    Filed: June 11, 2013
    Publication date: December 11, 2014
    Inventors: William Davis Lee, Kevin J. Hoyt, David Shanner, Jason Beringer
  • Publication number: 20140356105
    Abstract: An apparatus for extracting a bell jar chamber from a processing station of a thin film photovoltaic material is provided. The apparatus includes a rack fixture coupled to a robot loader. The rack fixture is configured to support the bell jar chamber to be moved using the robot loader in a horizontal direction and in a vertical direction. The apparatus further includes at least two support members configured within a vicinity of an upper region of the rack fixture.
    Type: Application
    Filed: August 19, 2014
    Publication date: December 4, 2014
    Inventor: Paul Alexander
  • Publication number: 20140356104
    Abstract: An apparatus to feed metal products to a work machine comprising a plurality of feed heads disposed upstream of the work machine, each of which supporting the metal products, and at least one of which being configured to retain at least two metal products and render them selectively available to the work machine. The apparatus comprises a drawing unit interposed between the feed heads and the work machine, the drawing unit being configured to assume at least a first operating condition not interfering with the metal products, and a second operating condition in which it is positioned during use in axis with the work axis of the work machine and is configured to pick up from the feed head at least one of the metal products chosen from among the at least two metal products retained by the specific feed head and to feed it to the work machine in a direction of feed.
    Type: Application
    Filed: December 20, 2012
    Publication date: December 4, 2014
    Inventor: Giorgio Del Fabro
  • Publication number: 20140349011
    Abstract: A process apparatus for treatment of a substrate comprising a load chamber for loading the substrate, a process chamber for processing the substrate, a sealing plane separating the process chamber from the load chamber and means for vertically moving the substrate from the load chamber to the process chamber, and a method for treating the substrate are provided. The load chamber is located in one of the lower and upper portions of the process apparatus, and the process chamber is located in the other of the lower and upper portions of the process apparatus. The process apparatus and method of the present invention will provide easy maintenance and reduced costs by reducing the number of movements for loading the substrate.
    Type: Application
    Filed: August 13, 2014
    Publication date: November 27, 2014
    Inventor: Jurgen Weichart
  • Publication number: 20140341680
    Abstract: An object selecting device includes a container including an inner bottom part and configured to store liquid, a plate having a top surface and a bottom surface, including a through hole at a support position for the selection object and to be immersed in the liquid, a determining device for determining whether or not the supported object is good, and a removing device for removing the object determined to be defective. Whether or not any non-object having a distorted shape is included in the objects supported by the plate is determined by the determining device. If such a non-object is present, it can be removed by the removing device. Since the supported selection object needs not be extracted such as by suction while causing forced deformation or the like, it can be gently extracted by a method such as vertical inversion of the plate.
    Type: Application
    Filed: December 20, 2011
    Publication date: November 20, 2014
    Applicant: YAMAHA HATSUDOKI KABUSHIKI KAISHA
    Inventor: Saburo Ito
  • Publication number: 20140341681
    Abstract: In a substrate processing apparatus, a storage device, an indexer block, a processing block and an interface block are arranged to line up in this order. The storage device includes a plurality of openers on which a carrier storing a plurality of substrates can be placed. The carrier is carried in the storage device. In the storage device, the carrier is transported among the plurality of openers by a transport device. The transport device includes first and second hands configured to be able to hold the carrier and move in a horizontal direction and a vertical direction. The second hand is provided below the first hand.
    Type: Application
    Filed: August 6, 2014
    Publication date: November 20, 2014
    Inventors: Yukihiko INAGAKI, Kensaku ONISHI, Jun YAMAMOTO
  • Publication number: 20140338163
    Abstract: The method that exchanges pallets (118) between a table (116) and a pallet loading station (14) of a machine tool is configured so that the lower edge (122) of a pallet (A) installed on the table (116) is grasped by the leading edge portion (17) of a first arm (16a) of the exchanging arm (16) and the one side (122) of a pallet (B), which is disposed on the pallet loading station (14), that will become the lower edge when the pallet is installed on the table (116) is grasped by the leading edge portion (17) of a second arm (16b) of the exchanging arm (16), and the exchanging arm (16) is rotated 180° around an inclined axis (O) that extends 45° upward from a horizontal axis in a vertical plane that contains the axis of rotation (Os) of the main axis (108).
    Type: Application
    Filed: September 16, 2011
    Publication date: November 20, 2014
    Applicant: MAKINO MILLING MACHINE CO., LTD.
    Inventors: Yuichiro Tsuchiya, Kentaro Ozone
  • Publication number: 20140339739
    Abstract: The invention describes a system for preparing and producing a stamp plate, comprising at least one input device, a control device, and a processing device, with a processing insert and apertures arranged therein. The processing device, in particular a laser plotter, comprises a processing table, which is designed to accommodate and position the processing insert, in particular a tray or jig for at least one stamp plate blank, wherein a removal aid is allocated to at least one aperture of the processing insert, and/or this aperture preferably comprises means for guiding and positioning the insert. In particular the stamp plate blank and/or the workpiece. In order to attain an even surface of a base material of the stamp plate blank, for further processing the base material is positioned on a base plate in a removable manner.
    Type: Application
    Filed: May 14, 2014
    Publication date: November 20, 2014
    Applicant: Trodat GmbH
    Inventors: Jean-Philippe Pinot, Stéphanie Verrier
  • Publication number: 20140328653
    Abstract: An analytical system for examining a body fluid and a method of operation of an analytical system, typically for blood sugar tests, including an exchangeable magazine (18) as a consumable, which includes a plurality of magazine units (24) that are each provided with at least one analytical aid (32, 34) and with a transport element (28), a hand-held device (12) having a magazine guide (16) for receiving the magazine (18), a transport mechanism (48) that engages on the transport elements so as to transport the magazine in steps in the magazine guide, including a positioning mechanism (50) for positioning an active magazine unit in a predefined functional position, wherein retaining means (52, 54) of the positioning mechanism can be brought into engagement with transport elements of the magazine.
    Type: Application
    Filed: July 18, 2014
    Publication date: November 6, 2014
    Inventor: Hans List