Including Wafer Charging Or Discharging Means For Vacuum Chamber Patents (Class 414/939)
  • Patent number: 11908714
    Abstract: A transfer robot assembly arranged within an ATV transfer module includes a transfer robot that includes an end effector and one or more arm segments connected between the end effector and a transfer robot platform. A first robot alignment arm is connected to the transfer robot platform. A second robot alignment arm is connected to the first robot alignment arm and to a mounting chassis of the ATV transfer module. The transfer robot assembly is configured to actuate the first robot alignment arm and the second robot alignment arm to raise and lower the transfer robot to adjust a position of the transfer robot in a vertical direction and in a horizontal direction. The transfer robot is configured to fold into a folded configuration having a narrow profile occupying less than 50% of an overall depth of the ATV transfer module.
    Type: Grant
    Filed: November 7, 2022
    Date of Patent: February 20, 2024
    Assignee: Lam Research Corporation
    Inventors: Richard H. Gould, Richard Blank
  • Patent number: 9958782
    Abstract: Embodiments described herein relate to methods and apparatus for performing immersion field guided post exposure bake processes. Embodiments of apparatus described herein include a chamber body defining a processing volume. Electrodes may be disposed adjacent the process volume and process fluid is provided to the process volume via a plurality of fluid conduits to facilitate immersion field guided post exposure bake processes. A post process chamber for rinsing, developing, and drying a substrate is also provided.
    Type: Grant
    Filed: June 29, 2016
    Date of Patent: May 1, 2018
    Assignee: Applied Materials, Inc.
    Inventors: Kyle M. Hanson, Gregory J. Wilson, Viachslav Babayan
  • Patent number: 9022714
    Abstract: A substrate processing system and substrate transferring method capable of transferring a substrate bi-directionally through the use of substrate transferring device provided between two rows of processing chambers arranged linearly, thereby improving the substrate-transferring efficiency, the substrate processing system includes a transfer chamber having at least one bi-directional substrate transferring device for bi-directionally transferring a substrate; and a plurality of processing chambers for applying a semiconductor-manufacturing process to the substrate, wherein the plurality of processing chambers are linearly arranged along two rows confronting each other, and the transfer chamber is interposed between the two rows of the processing chambers, wherein the bi-directional substrate transferring device have a moving unit inside the transfer chamber, and horizontally moved by a linear motor; and a bi-directional substrate transferring unit in the moving unit, the bi-directional substrate transferring u
    Type: Grant
    Filed: January 12, 2010
    Date of Patent: May 5, 2015
    Assignee: Jusung Engineering Co., Ltd.
    Inventors: Kyoo Hwan Lee, Duck Won Moon, Jae Wook Choi
  • Patent number: 9016998
    Abstract: A load lock having a reduced volume, thereby allowing faster pumping and venting, is disclosed. The load lock uses a movable bottom wall to modify the volume of the chamber to be pumped. In a first position, the movable wall is disposed so as to create a small internal volume. In a second position, the bottom wall is moved downward, allowing the workpiece to be in contact with a process chamber or an exit aperture. The bottom wall may be sealed in the first position through the use of a sealing mechanism, such as a magnetic clamp. The bottom wall may also include a workpiece holding mechanism. The top wall may be a removable cover, which is moved by an actuator. A robotic mechanism may supply workpieces to the load lock while the top wall is in the open position.
    Type: Grant
    Filed: March 14, 2013
    Date of Patent: April 28, 2015
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Jeffrey C. Blahnik, Robert Brent Vopat, William T. Weaver
  • Patent number: 9018065
    Abstract: A method and apparatus are provided for recessing a channel region of the PFET and epitaxially growing channel SiGe in the recessed region inside of a horizontally oriented processing furnace. Embodiments include forming an n-channel region and a p-channel region in a front side of a wafer and at least one additional wafer, the n-channel and p-channel regions corresponding to locations for forming an NFET and a PFET, respectively; placing the wafers inside a horizontally oriented furnace having a top surface and a bottom surface, with the wafers oriented vertically between the top and bottom surfaces; recessing the p-channel regions of the wafers inside the furnace; and epitaxially growing cSiGe without hole defects in the recessed p-channel regions inside the furnace.
    Type: Grant
    Filed: May 8, 2012
    Date of Patent: April 28, 2015
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Joanna Wasyluk, Yew Tuck Chow, Stephan Kronholz, Lindarti Purwaningsih, Ines Becker
  • Patent number: 9011065
    Abstract: A vacuum processing apparatus which includes an atmospheric transfer chamber having a plurality of cassette stands for transferring a wafer, a lock chamber for storing the wafer, a first vacuum transfer chamber to which the wafer from the lock chamber is transferred, a transfer intermediate chamber connected to the first vacuum transfer chamber, and a second vacuum transfer chamber connected to the transfer intermediate chamber. At least one vacuum processing chamber is connected to the first vacuum transfer chamber, and two or more vacuum processing chambers are connected to a rear side of the second vacuum transfer chamber. A plurality of gate valves are disposed between the first vacuum transfer chamber and each of the lock chamber, the transfer intermediate chamber, and the vacuum processing chamber coupled to the first vacuum transfer chamber. A control unit is also provided for controlling operation of the gate valves.
    Type: Grant
    Filed: August 30, 2010
    Date of Patent: April 21, 2015
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Susumu Tauchi, Hideaki Kondo, Teruo Nakata, Keita Nogi, Atsushi Shimoda, Takafumi Chida
  • Patent number: 8999103
    Abstract: In the vacuum substrate processing equipment of the present invention, a posttreatment chamber for carrying out a posttreatment in an atmospheric atmosphere adjoins a load-lock chamber. Products produced on a substrate during a vacuum process are removed by processing the substrate in the posttreatment chamber before the substrate is carried to an atmospheric carrying chamber in order to avoid or reduce adverse influence on the atmospheric carrying chamber. A carrying means installed in the said atmospheric carrying chamber carries the substrate to and from the said posttreatment chamber. The said posttreatment chamber and the atmospheric carrying chamber are separated from each other by a partition wall, and the partition wall is provided with an opening having the shape of a slit through which the said carrying means and the substrate can pass. The said carrying means carries the substrate into and out of the posttreatment chamber through the slit.
    Type: Grant
    Filed: August 24, 2007
    Date of Patent: April 7, 2015
    Assignee: Tokyo Electron Limited
    Inventor: Shinji Wakabayashi
  • Patent number: 8992746
    Abstract: An apparatus for anodizing substrates immersed in an electrolyte solution. A substrate holder mounted in a storage tank includes a first support unit having first support elements for supporting, in a liquid-tight condition, only lower circumferential portions of the substrates, and a second support unit attachable to and detachable from the first support unit and having second support elements for supporting, in a liquid-tight condition, remaining circumferential portions of the substrates. A drive mechanism separates the first support unit and the second support unit when loading and unloading the substrates, and for connecting the first support unit and the second support unit after the substrates are placed in the substrate holder.
    Type: Grant
    Filed: December 2, 2011
    Date of Patent: March 31, 2015
    Assignees: Dainippon Screen Mfg. Co., Ltd., Solexel, Inc.
    Inventors: Yasuyoshi Miyaji, Noriyuki Hayashi, Takamitsu Inahara, Takao Yonehara, Karl-Josef Kramer, Subramanian Tamilmani
  • Patent number: 8985929
    Abstract: A substrate processing apparatus includes: a carrier block including first and second carrier placement units spaced apart in a right and left direction; a processing block having a layered structure in which a plurality of layered parts are vertically arranged, the layered parts each including a substrate transport mechanism for transporting a substrate and a processing module for processing a substrate; a tower unit including plural substrate placement units located at height positions where a substrate is transferred by the substrate transport mechanism of the layered part corresponding to the substrate placement unit; a first substrate transfer mechanism configured to transfer a substrate between the carrier on the first carrier placement unit and the substrate placement unit of the tower unit; and a second substrate transfer mechanism configured to transfer a substrate between the carrier on the second substrate placement unit and the substrate placement unit of the tower unit.
    Type: Grant
    Filed: September 12, 2012
    Date of Patent: March 24, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Suguru Enokida, Masahiro Nakaharada, Akira Miyata, Hidekazu Kiyama, Naruaki Iida
  • Patent number: 8980764
    Abstract: The present invention provides a method for plasma dicing a substrate. The method comprising providing a process chamber having a wall; providing a plasma source adjacent to the wall of the process chamber; providing a work piece support within the process chamber; placing the substrate onto a support film on a frame to form a work piece work piece; loading the work piece onto the work piece support; providing a cover ring disposed above the work piece; generating a plasma through the plasma source; and etching the work piece through the generated plasma.
    Type: Grant
    Filed: February 11, 2013
    Date of Patent: March 17, 2015
    Assignee: Plasma-Therm LLC
    Inventors: Linnell Martinez, David Pays-Volard, Chris Johnson, David Johnson, Russell Westerman, Gordon M. Grivna
  • Patent number: 8950998
    Abstract: A substrate-handling vacuum robot includes a first robotic arm with a single-substrate end effector and a second robotic arm with a batch end effector. The single-substrate end effector permits single-substrate pick-and-place operations while the batch end effector permits batch handling of substrates within a vacuum environment.
    Type: Grant
    Filed: April 21, 2008
    Date of Patent: February 10, 2015
    Assignee: Brooks Automation, Inc.
    Inventor: Peter van der Meulen
  • Patent number: 8944738
    Abstract: Methods and systems are provided for a vacuum-based semiconductor handling system. The system may be a linear system with a four-link robotic SCARA arm for moving materials in the system. The system may include one or more vertically stacked load locks or vertically stacked process modules.
    Type: Grant
    Filed: September 29, 2011
    Date of Patent: February 3, 2015
    Assignee: Brooks Automation, Inc.
    Inventor: Peter van der Meulen
  • Patent number: 8944739
    Abstract: A wafer handling system with apparatus for transporting wafers between semiconductor fabrication tools. In one embodiment, the apparatus is a loadport bridge mechanism including an enclosure having first and second mounting ends, a docking port at each end configured and dimensioned to interface with a loadport of a semiconductor tool, and at least one wafer transport robot operable to transport a wafer between the docking ports. The wafer transport robot hands off or receives a wafer to/from a tool robot at the loadports of a first and second tool. The bridge mechanism allows one or more wafers to be transferred between loadports of different tools on an individual basis without reliance on the FAB's automated material handling system (AMHS) for bulk wafer transport inside a wafer carrier such as a FOUP or others.
    Type: Grant
    Filed: June 1, 2012
    Date of Patent: February 3, 2015
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Shih-Hung Chen, Ying Xiao, Chin-Hsiang Lin
  • Patent number: 8888433
    Abstract: A substrate transport apparatus is provided. The apparatus has a casing and a door. The casing is adapted to form a controlled environment therein. The casing has supports therein for holding at least one substrate in the casing. The casing defines a substrate transfer opening through which a substrate transport system accesses the substrate in the casing. The door is connected to the casing for closing the substrate transfer opening in the casing. The casing has structure forming a fast swap element allowing replacement of the substrate from the apparatus with another substrate without retraction of the substrate transport system and independent of substrate loading in the casing.
    Type: Grant
    Filed: August 19, 2005
    Date of Patent: November 18, 2014
    Assignee: Brooks Automation, Inc.
    Inventors: Ulysses Gilchrist, William Fosnight, Christopher Hofmeister, Gerald M. Friedman, Michael L. Bufano
  • Patent number: 8870513
    Abstract: A transport arrangement (100) for bi-directionally transporting substrates towards and from a load lock (5) comprises a first substrate handler (1) swivelable about a first axis (A1) and with at least two first substrate carriers (1a, 1b). A second substrate handler (20) swivelable about a second axis (A20) comprises at least four second substrate carriers (20a to 20d). First and second substrate carriers are mutually aligned respectively in one position of their respective swiveling trajectory paths as one of the first substrate carriers is aligned with one of the second substrate carriers and the other of the first substrate carriers is aligned with the load lock (5). The first substrate carriers (1a, 1b) are movable towards and from the load lock (5) once aligned there with and thereby form respectively external valves of the load lock (5).
    Type: Grant
    Filed: March 11, 2010
    Date of Patent: October 28, 2014
    Assignee: Oerlikon Advanced Technologies AG
    Inventors: Stephan Voser, Gerhard Dovids
  • Patent number: 8870514
    Abstract: A semiconductor handling system including a vacuum workpiece handling system having a vacuum environment therein, the vacuum workpiece handling system including at least two workpiece handling robotic facilities, a mid-entry station positioned between the at least two workpiece handling robotic facilities, the mid-entry station including vertically stacked load locks, where the at least two workpiece handling robotic facilities are configured to transfer workpieces between the vertically stacked load locks, at least one workpiece loading station connected to the vacuum handling system, and a workpiece delivery system having an internal environment different from the vacuum environment, the workpiece delivery system being configured to transport the workpieces between each of the vertically stacked load locks of the mid-entry station and the at least one workpiece loading station.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: October 28, 2014
    Assignee: Brooks Automation, Inc.
    Inventors: Peter van der Meulen, Christopher C Kiley, Patrick D. Pannese
  • Patent number: 8851817
    Abstract: The present invention is a wafer transfer system that transports individual wafers between chambers within an isolated environment. In one embodiment, a wafer is transported by a wafer shuttle that travel within a transport enclosure. The interior of the transport enclosure is isolated from the atmospheric conditions of the surrounding wafer fabrication facility. Thus, an individual wafer may be transported throughout the wafer fabrication facility without having to maintain a clean room environment for the entire facility. The wafer shuttle may be propelled by various technologies, such as, but not limited to, magnetic levitation or air bearings. The wafer shuttle may also transport more than one wafer simultaneously. The interior of the transport enclosure may also be under vacuum, gas-filled, or subject to filtered air.
    Type: Grant
    Filed: November 10, 2010
    Date of Patent: October 7, 2014
    Assignee: Brooks Automation, Inc.
    Inventors: Anthony C. Bonora, Richard H. Gould, Roger G. Hine, Michael Krolak, Jerry A. Speasl
  • Patent number: 8834088
    Abstract: Disclosed is a substrate processing system with a magnetic conduit configuration to improve the movement of a substrate carrier within the system. The configuration specifically provides for safe, secure movement of a carrier between multiple levels of a substrate processing system by using magnetic conduits to redirect magnetic forces created by a linear motor, permitting the linear motor to be positioned outside of the system and in a location that will not interfere with the movement of the carrier.
    Type: Grant
    Filed: November 12, 2007
    Date of Patent: September 16, 2014
    Assignee: Intevac, Inc.
    Inventor: Stuart Scollay
  • Patent number: 8827617
    Abstract: A substrate processing apparatus including a transport chamber having an end and defining more than one substantially linear substrate transport zone where each transport zone extends longitudinally along the transport chamber between opposing walls of the transport chamber and at least one of the more than one substantially linear substrate transport zones is configured as a supply zone for enabling transport of substrates from the end and at least one of the more than one substantially linear substrate transport zones is configured as a return zone for enabling transport of substrates to the end, and at least one substrate transport located in and movably mounted to the transport chamber for transporting substrates along the more than one substantially linear substrate transport zone, where each substrate transport zone is configured to allow the at least one substrate transport to move from one transport zone to another transport zone.
    Type: Grant
    Filed: February 11, 2013
    Date of Patent: September 9, 2014
    Assignee: Brooks Automation Inc.
    Inventors: Christopher Hofmeister, Robert T. Caveney
  • Patent number: 8807914
    Abstract: The present invention provides a seal device comprising a sealing passage which allows communication between a first space and a second space, and evacuation lines individually connected to the first space and the sealing passage. A gas feed line for feeding dry gas is connected to the sealing passage.
    Type: Grant
    Filed: October 7, 2008
    Date of Patent: August 19, 2014
    Assignee: Ebara Corporation
    Inventor: Hiroyuki Shinozaki
  • Patent number: 8807905
    Abstract: Methods and systems are provided for handling materials, including materials used in semiconductor manufacturing systems. The methods and systems include linear semiconductor processing facilities for vacuum-based semiconductor processing and handling, as well as linkable or extensible semiconductor processing facilities that can be flexibly configured to meet a variety of constraints.
    Type: Grant
    Filed: June 13, 2011
    Date of Patent: August 19, 2014
    Assignee: Brooks Automation, Inc.
    Inventor: Peter van der Meulen
  • Patent number: 8784033
    Abstract: Substrate transport systems, apparatus and methods are described. The systems are adapted to efficiently put or pick substrates at a destination by rotating a boom linkage to a position adjacent to the destination and then actuating a robot assembly to put or pick the substrate at the destination. Numerous other aspects are provided.
    Type: Grant
    Filed: January 8, 2010
    Date of Patent: July 22, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Izya Kremerman, Jeffrey C. Hudgens
  • Patent number: 8785332
    Abstract: The present invention provides a method for plasma dicing a substrate. The method comprising providing a process chamber having a wall; providing a plasma source adjacent to the wall of the process chamber; providing a work piece support within the process chamber; placing the substrate onto a support film on a frame to form a work piece work piece; loading the work piece onto the work piece support; providing a cover ring disposed above the work piece; generating a plasma through the plasma source; and etching the work piece through the generated plasma.
    Type: Grant
    Filed: February 11, 2013
    Date of Patent: July 22, 2014
    Assignee: Plasma-Therm LLC
    Inventors: Chris Johnson, David Johnson, Linnell Martinez, David Pays-Volard, Rich Gauldin, Russell Westerman, Gordon M. Grivna
  • Patent number: 8776841
    Abstract: The present invention provides a method, system, and components for protecting reticles and specifically for minimizing haze formation on reticles during storage and use. By substantially continually maintaining a purge in a storage housing having a reduced humidity level on reticles or by temporarily storing the reticle in a container in proximity to a desiccant or getter when not being purged, haze formation can be eliminated, minimized, or sufficiently controlled. Moreover, a filter media in the container may be positioned to be “recharged” during the substantially continual purging of the reticle, a reduced desirable humidity level can be readily maintained in the reticle container when the container is not currently being purged. Additionally, the system of the invention can comprise an ionizer associated with the purge system. For example, the ionizer can be associated with at least one of the plurality of purge lines of the purge system.
    Type: Grant
    Filed: June 19, 2007
    Date of Patent: July 15, 2014
    Assignee: Entegris, Inc.
    Inventors: Oleg P. Kishkovich, Xavier Gabarre, William M. Goodwin, James Lo, Troy Scoggins
  • Patent number: 8771421
    Abstract: An apparatus is provided for vapor deposition of a sublimated source material as a thin film on discrete photovoltaic (PV) module substrates conveyed in a continuous non-stop manner through said apparatus. The apparatus includes a vapor deposition head configured for receipt and sublimation of a source material, and for distributing the sublimated source material onto an upper surface of substrates conveyed through a deposition area. A roll seal configuration is provided at each of an entry slot and an exit slot for the substrates conveyed through the apparatus. The roll seal configuration further includes a cylinder rotatably supported at a defined gap height above a conveyance plane of the substrates such that the cylinder is not in continuous rolling contact with the substrates within the window of finished active semiconductor material.
    Type: Grant
    Filed: December 23, 2010
    Date of Patent: July 8, 2014
    Assignee: First Solar, Inc.
    Inventor: Christopher Rathweg
  • Patent number: 8758514
    Abstract: A cluster type semiconductor processing apparatus includes a wafer handling chamber having a polygonal base including multiple sides for wafer processing chambers and two adjacent sides for wafer loading/unloading chambers as viewed in a direction of an axis of the wafer handling chamber. An angle A between two adjacent sides of the multiple sides for wafer processing chambers is greater than an angle B which is calculated by dividing 360° by the number of the total sides consisting of the multiple sides for wafer processing chambers and the two adjacent sides for wafer loading/unloading chambers.
    Type: Grant
    Filed: March 2, 2007
    Date of Patent: June 24, 2014
    Assignee: ASM Japan K.K.
    Inventors: Masahiro Takizawa, Masaei Suwada, Takashi Hagino
  • Patent number: 8747046
    Abstract: The vacuum processing apparatus is comprised of two vacuum transfer vessels in which a wafer is transferred through; two vacuum process vessels connected to these vacuum transfer vessels respectively; an intermediate chamber vessel capable of storing thereinto the wafer connected between the vacuum transfer vessels; a lock chamber connected to one of the vacuum transfer vessels; and a plurality of valves disposed among the vacuum transfer vessels, the vacuum process vessels, the intermediate chamber vessel, and the lock chamber respectively, for airtightly opening/closing communications among these vessels and the chamber; in which any one of the valves disposed on both sides of the intermediate chamber vessel is closed before the valves disposed between processing chambers of the vacuum process vessels and vacuum transfer chambers of the vacuum transfer vessels is opened.
    Type: Grant
    Filed: February 7, 2011
    Date of Patent: June 10, 2014
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Ryoichi Isomura, Susumu Tauchi, Hideaki Kondo
  • Patent number: 8741096
    Abstract: An apparatus for semiconductor processing capable of performing semiconductor processing such as etching, depositing, etc. on a surface of a substrate such as a wafer. The apparatus for semiconductor processing, comprises: a reaction chamber having a gate through which a substrate to be processed is transferred; one or more shower heads disposed at an upper side of the reaction chamber, for spraying gas so as to perform semiconductor processing; one or more wafer supporting units disposed at an inner lower side of the reaction chamber in correspondence to each of the shower heads, for supporting the substrate; a processing space forming unit disposed in the reaction chamber, for forming a processing space for semiconductor processing by sealing the shower heads and the wafer supporting units; and an exhausting system connected to the processing space forming unit for controlling a pressure and air exhaustion inside the reaction chamber and the processing space formed by the processing space forming unit.
    Type: Grant
    Filed: June 28, 2007
    Date of Patent: June 3, 2014
    Assignee: Wonik IPS Co., Ltd.
    Inventors: Sang-Jun Park, Ho-Young Lee, Chun-Woo Lee
  • Patent number: 8740536
    Abstract: The invention describes a method and a device for introducing and removing substrates. Substrates (5) are transported into a lock (2) by a transport element (4). A collection container (3) is located in the lock below the substrates (5) for collecting possible substrate fragments (19). The substrates (5) are removed together with the collection container (3) and the lock cover (18) once the lock (2) has been flooded. The lock cover (18) and the collection container (3) form an almost closed box around the substrates (5). After the substrates (5) have been replaced and the collection container (3) has been emptied, the new substrates (5) are introduced together with the collection container. All the steps are carried out in the machine cycle to ensure an effective production process.
    Type: Grant
    Filed: April 23, 2010
    Date of Patent: June 3, 2014
    Assignee: Singulus Technologies AG
    Inventors: Michael Reising, Stefan Kempf
  • Patent number: 8740537
    Abstract: A device for transporting a substrate (5) into a working area (2, 3, 22) which can be temporarily separated in a vacuum-tight manner, and a corresponding method. A transport element (4) transports a substrate (5) in the plane (XY) to a defined working area (2, 3, 22) of a chamber (1). The transport element (4) has a deflectable sealing frame (6) which can be moved in a Z-direction perpendicular with respect to the XY-plane. The sealing frame (6) has two opposing sealing surfaces (10, 11) in the Z-direction. By pressing a closure element (16, 21, 24) against a first sealing surface (10, 11) and thus pressing the second sealing surface (11, 10) against a chamber wall (32, 31), the space of the working area (2, 3, 22) of the chamber (1) can be sealed.
    Type: Grant
    Filed: April 23, 2010
    Date of Patent: June 3, 2014
    Assignee: Singulus Technologies AG
    Inventors: Michael Reising, Stefan Kempf, Georg Roth
  • Patent number: 8696298
    Abstract: A variety of process modules are described for use in semiconductor manufacturing processes.
    Type: Grant
    Filed: October 23, 2007
    Date of Patent: April 15, 2014
    Assignee: Brooks Automation, Inc.
    Inventors: Peter van der Meulen, Christopher C Kiley, Patrick D. Pannese
  • Patent number: 8672605
    Abstract: Modular wafer transport and handling facilities are combined in a variety of ways deliver greater levels of flexibility, utility, efficiency, and functionality in a vacuum semiconductor processing system. Various processing and other modules may be interconnected with tunnel-and-cart transportation systems to extend the distance and versatility of the vacuum environment. Other improvements such as bypass thermal adjusters, buffering aligners, batch processing, multifunction modules, low particle vents, cluster processing cells, and the like are incorporated to expand functionality and improve processing efficiency.
    Type: Grant
    Filed: February 4, 2008
    Date of Patent: March 18, 2014
    Assignee: Brooks Automation, Inc.
    Inventors: Peter van der Meulen, Christopher C Kiley, Patrick D. Pannese, Raymond S. Ritter, Thomas A. Schaefer
  • Patent number: 8668422
    Abstract: As part of a system for processing workpieces, a workpiece support arrangement, separate from a process chamber arrangement supports at least two workpieces at least generally in a stacked relationship to form a workpiece column. A transfer arrangement transports at least two of the workpieces between the workpiece column and the process chamber arrangement by simultaneously moving the two workpieces at least generally along first and second transfer paths, respectively, that are defined between the workpiece column and the first and second process stations. The transfer arrangement can simultaneously move untreated and treated workpieces. Vertical motion swing arms and coaxial swing arms are described. A pair of spaced apart swing arms, the workpiece column and the processing stations can cooperatively define a pentagonal shape. Timing belt backlash elimination, a dual degree of freedom slot valve and low point chamber pumping, for removing chamber contaminants, are also described.
    Type: Grant
    Filed: August 17, 2004
    Date of Patent: March 11, 2014
    Assignee: Mattson Technology, Inc.
    Inventors: Leszek Niewmierzycki, David Barker, Daniel J. Devine, Michael Kuhlman, Ryan Pakulski, Hongqing Shan, Martin Zucker
  • Patent number: 8663489
    Abstract: A method for replacing plural substrates to be processed by a substrate processing apparatus which includes a substrate processing chamber, a load lock chamber, and a conveying apparatus including first and second conveying members for conveying the plural substrates into and out from the substrate processing chamber and the load lock chamber. The method includes the steps of a) conveying a first substrate out from the substrate processing chamber with the first conveying member, b) conveying a second substrate into the substrate processing chamber with the second conveying member, c) conveying the second substrate out from the load lock chamber with the second conveying member, and d) conveying the first substrate into the load lock chamber with the first conveying member. The steps c) and d) are performed between step a) and step b).
    Type: Grant
    Filed: March 26, 2010
    Date of Patent: March 4, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Shigeru Ishizawa, Hiroshi Koizumi, Tatsuya Ogi
  • Patent number: 8651789
    Abstract: A substrate processing apparatus is presented having a transport chamber defining substantially linear substrate transport paths, a linear array of substrate holding modules, each communicably connected to the chamber. The substrate transport has at least one transporter capable of holding and moving the substrate on more than one substantially linear substrate transport paths. The transport chamber having different transport tubes at least one of which is sealable at both ends of the transport tube and configured to hold an isolated atmosphere different from that of the transport tubes, each of the different transport tubes having one of the substrate transport paths located therein different from another of the transport paths located in another of the transport tubes, and being communicably connected to each other, where at least one of the transport tubes is configured to provide uninterrupted transit of the substrate transport through the transport tubes.
    Type: Grant
    Filed: June 13, 2011
    Date of Patent: February 18, 2014
    Assignee: Brooks Automation, Inc.
    Inventors: Christopher Hofmeister, Robert T. Caveney
  • Patent number: 8616821
    Abstract: The present disclosure provides a system and method for processing a semiconductor substrate wherein a substrate is received at a load lock interface. The substrate is transferred from the load lock interface to a process module using a first module configured for unprocessed substrates. A manufacturing process is performed on the substrate within the process module. Thereafter, the substrate is transferred from the process module to the load lock interface using a second module configured for processed substrates.
    Type: Grant
    Filed: August 26, 2010
    Date of Patent: December 31, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shao-Yen Ku, Chi-Ming Yang, Ming-Tsao Chiang, Yu-Fen Tzeng, Chin-Hsiang Lin
  • Patent number: 8616820
    Abstract: Provided herein is a double dual slot load lock chamber. The double dual slot load lock chamber includes two isolated load lock regions that are vertically stacked and share a common wall, wherein each isolated load lock region comprises two substrate slots.
    Type: Grant
    Filed: October 29, 2007
    Date of Patent: December 31, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Shinichi Kurita, Wendell T. Blonigan
  • Patent number: 8596312
    Abstract: Disclosed herein is an apparatus including: a table configured to receive a container that stores an object therein, the container including a bottom surface provided with a positioning groove and including a charging inlet through which a gas is charged into the container; a positioning pin projecting from the table and adapted to engage with the positioning groove of the container; a nozzle configured to charge the gas into the container through the charging inlet; and a drive unit configured to move the nozzle into contact with the charging inlet of the container after the positioning pin is engaged with the positioning groove.
    Type: Grant
    Filed: March 2, 2011
    Date of Patent: December 3, 2013
    Assignee: Sinfonia Technology Co., Ltd.
    Inventors: Mitsuo Natsume, Mitsutoshi Ochiai, Takumi Mizokawa
  • Patent number: 8574366
    Abstract: A vacuum processing apparatus includes: a plurality of carriers to be mounted with a base member; a circulation path which is kept in a controlled atmosphere and through which the carriers circulate; a plurality of base member loading and unloading chambers which are disposed in the circulation path and which load and unload the base member to and from the carriers; and a plurality of vacuum processing chambers which are disposed between the base member loading and unloading chambers in the circulation path for performing a vacuum process on the base member.
    Type: Grant
    Filed: July 21, 2006
    Date of Patent: November 5, 2013
    Assignee: Ulvac, Inc.
    Inventor: Eiichi Iijima
  • Patent number: 8573264
    Abstract: A reticle pod for storing reticles, into the gas channel of partition of which gas is filled through a gas inlet, wherein strong gas flow is formed around the pellicle film and the pellicle film expands outward in accordance with the Bernoulli's principle; when no gas is filled in through the gas inlet, the pellicle film contracts inward. Therefore, by turning on and shutting off the gas inlet valve, the pellicle film will be set in a breathing motion for the gas inside the pellicle film to be exchanged.
    Type: Grant
    Filed: January 3, 2011
    Date of Patent: November 5, 2013
    Assignee: Gudeng Precision Industrial Co, Ltd
    Inventors: Ni-Shin Lee, Shao-Wei Lu
  • Patent number: 8562272
    Abstract: In various exemplary embodiments described herein, a system includes a plurality of carrier arms each having concentrically mounted midpoints between opposing ends of the carrier arms with a wafer carrier mounted on each of the opposing ends of the carrier arms. A hub includes a plurality of concentrically mounted drives where each of the plurality of drives is coupled near the midpoint of a respective one of the plurality of carrier arms. Each of the plurality of drives is configured to be controlled independently of the remaining plurality of concentrically mounted drives. A respective motor is coupled to each of the concentrically mounted drives and is configured to move the coupled carrier arm in a rotary manner. A linear wafer transport mechanism moves wafers to or from select ones of the wafer carriers on the plurality of carrier arms to an easy handoff location for a load/unload robot.
    Type: Grant
    Filed: February 16, 2010
    Date of Patent: October 22, 2013
    Assignee: Lam Research Corporation
    Inventor: Eric H. Lenz
  • Patent number: 8562271
    Abstract: A substrate processing system including a load port module configured to hold at least one substrate container for storing and transporting substrates, a substrate processing chamber, an isolatable transfer chamber capable of holding an isolated atmosphere therein configured to couple the substrate processing chamber and the load port module, and a substrate transport mounted at least partially within the transfer chamber having a drive section fixed to the transfer chamber and having a SCARA arm configured to support at least one substrate, the SCARA arm being configured to transport the at least one substrate between the at least one substrate container and the processing chamber with but one touch of the at least one substrate, wherein the SCARA arm comprises a first arm link, a second arm link, and at least one end effector serially pivotally coupled to each other, where the first and second arm links have unequal lengths.
    Type: Grant
    Filed: May 19, 2008
    Date of Patent: October 22, 2013
    Assignee: Brooks Automation, Inc.
    Inventors: Christopher Hofmeister, Alexander Krupyshev, Ulysses Gilchrist
  • Patent number: 8562275
    Abstract: A transfer device 17 in a semiconductor processing system includes first and second actuation mechanisms 9A, 9B having first and second support sections movable on first and second vertical planes, respectively, the latter being parallel with each other. First and second movable blocks 18A, 18B are supported on the first and second support sections so that they may be horizontally moved by the first and second actuation mechanisms. Disposed on the first and second movable blocks are first and second handling mechanism 19A, 19B capable of extension and contraction for handling a processing subject substrate W. A control section 20 controls the operation of the first and second actuation mechanisms so that the first and second movable blocks may not interfere with each other.
    Type: Grant
    Filed: April 16, 2012
    Date of Patent: October 22, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Tsutomu Hiroki
  • Patent number: 8545159
    Abstract: An apparatus for a liquid crystal display device includes: a process chamber for treating a substrate; a load-lock chamber having an interior conveyor; and a transfer chamber connected to the process chamber and the at least one load-lock chamber, the transfer chamber having a substrate-transferring means.
    Type: Grant
    Filed: October 1, 2004
    Date of Patent: October 1, 2013
    Assignee: Jusung Engineering Co., Ltd.
    Inventors: Chul-Joo Hwang, Yong-Jin Kim
  • Patent number: 8534976
    Abstract: An apparatus for supporting a plurality of carriers or substrates is described. The apparatus includes a vacuum chamber and a rotatable support for supporting the plurality of carriers or substrates, wherein the support is provided within the vacuum chamber and is configured for rotating the supported plurality of carriers or substrates around a rotation axis.
    Type: Grant
    Filed: October 28, 2010
    Date of Patent: September 17, 2013
    Assignee: Applied Materials Inc.
    Inventors: Reiner Gertmann, Michael König
  • Patent number: 8534975
    Abstract: A substrate transport apparatus comprises chambers connected to each other through a gate valve, a transport mechanism configured to open the gate valve and to transport a carrier between the chambers along a transport path, a sensor configured to detect the carrier before the carrier reaches a stop position in the chamber, and a controller configured to cause the gate valve to start closing based on the detection signal from the sensor.
    Type: Grant
    Filed: October 22, 2009
    Date of Patent: September 17, 2013
    Assignee: Canon Anelva Corporation
    Inventors: Itaru Hagiwara, Naoyuki Nozawa
  • Patent number: 8528722
    Abstract: A conveyor assembly suitable for use in a substrate processing system includes: at least one substrate carrier having a substrate-carrying surface configured to support at least one substrate; a processing track; a return track; a drive system configured to drive the substrate carrier along the processing track and the return track; and at least one swivel unit configured to pivot the substrate carrier around a substantially horizontal axis from a first orientation into a second orientation, and/or vice versa. Also provided is a method for conveying a substrate carrier including: providing a substrate carrier; positioning the substrate carrier in a first orientation; conveying the substrate carrier along a first track; and rotating the substrate carrier around a substantially horizontal axis into a second orientation.
    Type: Grant
    Filed: August 21, 2009
    Date of Patent: September 10, 2013
    Assignee: OTB Solar B.V.
    Inventors: Eduard Renier Francisca Clerkx, Ernst Dullemeijer, Franciscus Cornelius Dings
  • Patent number: 8522958
    Abstract: An object is to provide a vacuum processing apparatus that is capable of suppressing the costs and making control easy. Provided is a vacuum processing apparatus that includes a vacuum section (2) of which inside is held in vacuum, a placing section (3) that is disposed inside the vacuum section (2) and is capable of placing a workpiece thereon, a linear motor 4) that includes coils (415) and makes the placing section (3) travel within the vacuum section, wherein air is placed inside the placing section (3) while being isolated from the vacuum section (2), and the coils (415) of the linear motor (4) are disposed inside the placing section (3).
    Type: Grant
    Filed: May 19, 2009
    Date of Patent: September 3, 2013
    Assignees: Tokyo Electron Limited, Sinfonia Technology Co., Ltd.
    Inventors: Yasuhiro Tobe, Satoru Kawakami, Shinji Matsubayashi, Yosuke Muraguchi, Yasuyoshi Kitazawa, Yasumichi Mieno
  • Patent number: 8523507
    Abstract: Linear semiconductor handling systems provide more balanced processing capacity using various techniques to provide increased processing capacity to relatively slow processes. This may include use of hexagonal vacuum chambers to provide additional facets for slow process modules, use of circulating process modules to provide more processing capacity at a single facet of a vacuum chamber, or the use of wide process modules having multiple processing sites. This approach may be used, for example, to balance processing capacity in a typical process that includes plasma enhanced chemical vapor deposition steps and bevel etch steps.
    Type: Grant
    Filed: September 29, 2011
    Date of Patent: September 3, 2013
    Assignee: Brooks Automation, Inc.
    Inventor: Peter van der Meulen
  • Patent number: 8500388
    Abstract: Modular wafer transport and handling facilities are combined in a variety of ways deliver greater levels of flexibility, utility, efficiency, and functionality in a vacuum semiconductor processing system. Various processing and other modules may be interconnected with tunnel-and-cart transportation systems to extend the distance and versatility of the vacuum environment. Other improvements such as bypass thermal adjusters, buffering aligners, batch processing, multifunction modules, low particle vents, cluster processing cells, and the like are incorporated to expand functionality and improve processing efficiency.
    Type: Grant
    Filed: February 4, 2008
    Date of Patent: August 6, 2013
    Assignee: Brooks Automation, Inc.
    Inventors: Peter van der Meulen, Christopher C Kiley, Patrick D. Pannese, Raymond S. Ritter, Thomas A. Schaefer