Processing Feature Prior To Imaging Patents (Class 430/327)
  • Patent number: 11953839
    Abstract: In a method of cleaning a lithography system, during idle mode, a stream of air is directed, through a first opening, into a chamber of a wafer table of an EUV lithography system. One or more particles is extracted by the directed stream of air from surfaces of one or more wafer chucks in the chamber of the wafer table. The stream of air and the extracted one or more particle are drawn, through a second opening, out of the chamber of the wafer table.
    Type: Grant
    Filed: December 5, 2022
    Date of Patent: April 9, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Shih-Yu Tu, Shao-Hua Wang, Yen-Hao Liu, Chueh-Chi Kuo, Li-Jui Chen, Heng-Hsin Liu
  • Patent number: 11914299
    Abstract: A method for enhancing the depth of focus process window during a lithography process includes applying a photoresist layer comprising a photoacid generator on a material layer disposed on a substrate, exposing a first portion of the photoresist layer unprotected by a photomask to light radiation in a lithographic exposure process, providing a thermal energy to the photoresist layer in a post-exposure baking process, applying an electric field or a magnetic field while performing the post-exposure baking process, and dynamically changing a frequency of the electric field as generated while providing the thermal energy to the photoresist layer.
    Type: Grant
    Filed: August 29, 2022
    Date of Patent: February 27, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Huixiong Dai, Mangesh Ashok Bangar, Srinivas D. Nemani, Christopher S. Ngai, Ellie Y. Yieh
  • Patent number: 11905355
    Abstract: Polymerizable liquids for 3D printing applications are described herein which, in some embodiments, impart flame resistant and/or flame retardant properties to articles printed from the build materials. The polymerizable liquids may also impart desirable mechanical properties to the articles. In some embodiments, a polymerizable liquid comprises a curable isocyanurate component in an amount of at least 20 wt. %, based on total weight of the polymerizable liquid, and an organophosphate component comprises one or more organophosphate compounds. In some embodiments, the polymerizable liquid further comprises an acrylate component.
    Type: Grant
    Filed: December 8, 2021
    Date of Patent: February 20, 2024
    Assignee: 3D SYSTEMS, INC.
    Inventor: Khalil Moussa
  • Patent number: 11837464
    Abstract: Methods, systems, and apparatus for cleaning and drying a tape-frame substrate are provided. In embodiments, an apparatus for supporting a tape-frame substrate includes a chuck having a first side and a second side opposite the first side, the first side having a convex surface configured to support the tape-frame substrate; and a plurality of channels extending through the chuck and having outlets along the first side, wherein the plurality of channels are configured to dispense fluid from the outlets along the convex surface of the first side. In embodiments, a support system includes the chuck and a holder configured to mount a tape-frame substrate to the chuck. The plurality of channels are configured to dispense fluid from the outlets and between the tape-frame substrate and the convex surface of the chuck when the tape-frame substrate is mounted to the chuck.
    Type: Grant
    Filed: January 6, 2022
    Date of Patent: December 5, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Ying Wang, Guan Huei See, Gregory J. Wilson
  • Patent number: 11804390
    Abstract: Systems and methods are described for integrated decomposition and scanning of a semiconducting wafer, where a single chamber is utilized for decomposition and scanning of the wafer of interest.
    Type: Grant
    Filed: December 27, 2021
    Date of Patent: October 31, 2023
    Assignee: Elemental Scientific, Inc.
    Inventors: Tyler Yost, Daniel R. Wiederin, Beau Marth, Jared Kaser, Jonathan Hein, Jae Seok Lee, Jae Min Kim, Stephen H. Sudyka
  • Patent number: 11780946
    Abstract: An alternating copolymer including a structural unit (a0-1) represented by general formula (a0-1) and a structural unit (a0-2) represented by general formula (a0-2) in which Rp01 represents a hydrogen atom or the like; Vp01 represents a single bond or a divalent linking group; Rp02 and Rp03 each independently represents a hydrocarbon group which may have a substituent, or Rp02 and Rp03 are mutually bonded to form a ring; Rp04 represents a hydrogen atom, a C1-C5 alkyl group or a C1-C5 halogenated alkyl group; Rp05 represents an alkyl group, an alkoxy group, a halogen atom, a halogenated alkyl group, a cyano group or a hydroxy group; Rp06 represents a linear or branched aliphatic hydrocarbon group; and m represents an integer of 0 to 4
    Type: Grant
    Filed: January 19, 2021
    Date of Patent: October 10, 2023
    Assignee: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Akiyoshi Yamazaki, Daisuke Kawana, Yoshitaka Komuro, Masatoshi Arai, Nobuhiro Michibayashi, Takatoshi Inari
  • Patent number: 11681213
    Abstract: Techniques for EUV resist pattern transfer using a graded hardmask are provided. In one aspect, a method of patterning is provided. The method includes: forming a graded hardmask on a device stack; depositing a resist onto the graded hardmask; patterning the resist to form a pattern in the resist having at least one feature; modifying at least one surface region to increase an etch rate of the graded hardmask; transferring the pattern from the resist to the graded hardmask; and transferring the pattern from the graded hardmask to at least one underlying layer of the device stack. A device structure formed by the patterning method is also provided.
    Type: Grant
    Filed: February 21, 2019
    Date of Patent: June 20, 2023
    Assignee: International Business Machines Corporation
    Inventors: Nelson Felix, Luciana Meli Thompson, Ashim Dutta, Ekmini A. De Silva
  • Patent number: 11537046
    Abstract: The present invention relates to a photoresist composition capable of realizing excellent pattern performance during formation of fine patterns, and of preparing a photoresist film that is excellent in chemical stability of a plating solution, and a photoresist film using the same.
    Type: Grant
    Filed: November 5, 2018
    Date of Patent: December 27, 2022
    Assignee: LG CHEM, LTD.
    Inventors: Eun Seok Park, Min Young Lim, Ji Hye Kim
  • Patent number: 11474434
    Abstract: A mask is provided in embodiments of the disclosure, at least including: a first light transmission area provided with a first optical filter film; and a second light transmission area provided with a second optical filter film; the first optical filter film and the second optical filter film comprise respective materials through which light of different frequency ranges is optically filtered, respectively. A method for manufacturing a mask, a lithography method, a display panel, a display device, and an exposure device are further provided in embodiments of the disclosure.
    Type: Grant
    Filed: March 20, 2020
    Date of Patent: October 18, 2022
    Assignees: HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD., BEIJING BOE TECHNOLOGY DEVELOPMENT CO., LTD.
    Inventors: Dengfeng Wang, Zhou Rui, Maoxiu Zhou, Haipeng Yang, Lei Guo, Lin Chen
  • Patent number: 11163235
    Abstract: The present disclosure provides a method for forming a masking layer, including spinning a wafer, dispensing a first liquid at a first location on the wafer, and dispensing a second liquid at a second location on the wafer simultaneously with dispensing the first liquid at the first location, wherein the second liquid is a remover of the first liquid, and the first location is different from the second location.
    Type: Grant
    Filed: August 22, 2019
    Date of Patent: November 2, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Yung-Yao Lee, Chen Yi Hsu
  • Patent number: 11120993
    Abstract: A diffusing agent composition that can form a coating film in which the unevenness thereof is lowered, which is uniform and which has excellent stability, and a method of manufacturing a semiconductor substrate in which an impurity diffusing component is diffused into the semiconductor substrate from the coating film formed of the diffusing agent composition. An aliphatic amine which satisfies predetermined conditions is contained as an aliphatic amine compound in a diffusing agent composition including an impurity diffusing component. When the number of primary amino groups included in the amine compound is NA, the number of secondary amino groups included in the compound is NB, and the number of tertiary amino groups included in the amine compound is NC, NA, NB and NC satisfy predetermined formulas.
    Type: Grant
    Filed: May 19, 2020
    Date of Patent: September 14, 2021
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Keisuke Kubo, Yoshihiro Sawada, Shunichi Mashita
  • Patent number: 10386721
    Abstract: Provided are: a pattern-forming method by which a laminate that has excellent interlayer adhesion of a resist film, yields a high-definition pattern and exhibits excellent gas barrier properties and high solvent resistance is obtained; and an electronic device produced by the same. The pattern-forming method includes: the step (1) of forming a film using a composition on a support; the exposure step (2) of irradiating a prescribed part of the thus formed film with an active energy ray to modify the developability of the prescribed part; and the development step (3) of developing the film to obtain a pattern, wherein, a plurality of compositions that differ in solubility to a developing solution are used as the composition, and the resulting pattern has a multilayer structure.
    Type: Grant
    Filed: February 23, 2016
    Date of Patent: August 20, 2019
    Assignee: ADEKA CORPORATION
    Inventors: Toshihiko Murai, Kenji Hara, Masatomi Irisawa
  • Patent number: 10253201
    Abstract: A composition for a polarizing plate containing a polymer having a partial structure represented by the following ring structure ? or ? in the molecule, a polarizing plate protective film, a cellulose acylate film, a polarizer, a polarizing plate, and a display; wherein L1 represents a single bond, —C(?O)—, —C(?S)—, an alkylene group, or an arylene group; and the symbol * designates a boning hand, or a site to which a hydrogen atom, a substituent, or a linking group binds.
    Type: Grant
    Filed: December 28, 2015
    Date of Patent: April 9, 2019
    Assignee: FUJIFILM Corporation
    Inventors: Aiko Yoshida, Naoya Shimoju, Mayumi Nojiri, Nobutaka Fukagawa
  • Patent number: 10186435
    Abstract: A substrate processing system includes a chemical liquid preparation unit preparing a chemical liquid to be supplied to a substrate and a processing unit which supplies the chemical liquid, prepared by the chemical liquid preparation unit, to the substrate. The chemical liquid preparation unit supplies an oxygen-containing gas, containing oxygen gas, to a TMAH-containing chemical liquid, containing TMAH (tetramethylammonium hydroxide), to make the oxygen-containing gas dissolve in the TMAH-containing chemical liquid.
    Type: Grant
    Filed: June 22, 2017
    Date of Patent: January 22, 2019
    Assignee: SCREEN Holdings Co., Ltd.
    Inventors: Atsuyasu Miura, Hidekazu Ishikawa
  • Patent number: 10101659
    Abstract: A lithography method is provided in accordance with some embodiments. The lithography method includes forming a surface modification layer on a substrate, the surface modification layer including a hydrophilic top surface; coating a photoresist layer on the surface modification layer; and developing the photoresist layer, thereby forming a patterned photoresist layer.
    Type: Grant
    Filed: November 18, 2016
    Date of Patent: October 16, 2018
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD
    Inventors: Shu-Fang Chen, Hung-Chung Chien, Lin-Hung Shiu, Hung-Chang Hsieh
  • Patent number: 9837298
    Abstract: A laminate manufactured by forming a step difference in a substrate by grinding a periphery edge portion to have such a size that a surface on the inner side of the step difference can be housed in a cavity of a die, and then laminating the substrate, an adhesive layer, a release layer, and a support plate in this order such that the surface on the inner side of the step difference of the substrate faces the support plate.
    Type: Grant
    Filed: November 13, 2015
    Date of Patent: December 5, 2017
    Assignee: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Akihiko Nakamura, Kimihiro Nakada
  • Patent number: 9393737
    Abstract: Disclosed is a resin mold for nanoimprinting, which has a resin layer having fine depressions and protrusions formed on the surface, wherein the resin layer is formed from 1 to 49 parts by weight of a silicone-based macromonomer and/or a fluorine-based macromonomer and 99 to 51 parts by weight of at least one polymerizable monomer selected from the group consisting of a (meth)acrylic monomer, a styrene-based monomer, an epoxy-based monomer, an olefin-based monomer and a polycarbonate-based resin-forming monomer. The silicone-based macromonomer and/or the fluorine-based macromonomer has a molecular weight of 600 to 10000 and has, at an end of molecule, a reactive group copolymerizable with the polymerizable monomer, and when the reactive group is copolymerized with the polymerizable group, silicone-based units or fluorine-based units that constitute the macromonomer form side chains on a trunk polymer formed from the polymerizable monomer and the macromonomer.
    Type: Grant
    Filed: August 3, 2011
    Date of Patent: July 19, 2016
    Assignee: Soken Chemical & Engineering Co., Ltd.
    Inventors: Satoshi Uehara, Takahide Mizawa
  • Patent number: 9250529
    Abstract: The present invention relates to a composition comprising a photoresist polymer and a fluoropolymer. In one embodiment, the fluoropolymer comprises a first monomer having a pendant group selected from alicyclic bis-hexafluoroisopropanol and aryl bis-hexafluoroisopropanol and preferably a second monomer selected from fluorinated styrene and fluorinated vinyl ether. The invention composition has improved receding contact angles with high refractive index hydrocarbon fluids used in immersion lithography and, thereby, provides improved performance in immersion lithography.
    Type: Grant
    Filed: July 8, 2012
    Date of Patent: February 2, 2016
    Assignee: International Business Machines Corporation
    Inventors: Hiroshi Ito, Daniel Paul Sanders, Linda Karin Sundberg
  • Patent number: 8993201
    Abstract: Provided are an EUV mask blank in which deterioration in reflectivity due to oxidation of a Ru protective layer is prevented, a reflective layer-equipped substrate to be used for producing the EUV mask blank, and a process for producing the reflective layer-equipped substrate. A reflective layer-equipped substrate for EUV lithography comprising a substrate, and a reflective layer for reflecting EUV light and a protective layer for protecting the reflective layer, formed in this order on the substrate, wherein the reflective layer is a Mo/Si multilayer reflective film, the protective layer is a Ru layer or a Ru compound layer, and an intermediate layer containing from 0.5 to 25 at % of nitrogen and from 75 to 99.5 at % of Si is formed between the reflective layer and the protective layer.
    Type: Grant
    Filed: May 23, 2012
    Date of Patent: March 31, 2015
    Assignee: Asahi Glass Company, Limited
    Inventors: Masaki Mikami, Mitsuhiko Komakine, Yoshiaki Ikuta
  • Patent number: 8980724
    Abstract: A system and method of manufacturing a semiconductor device lithographically and an article of manufacture involving a lithographic double patterning process having a dye added to either the first or second lithographic pattern are provided. The dye is used to detect the location of the first lithographic pattern and to directly align the second lithographic pattern to it. The dye may be fluorescent, luminescent, absorbent, or reflective at a specified wavelength or a given wavelength band. The wavelength may correspond to the wavelength of an alignment beam. The dye allows for detection of the first lithographic pattern even when it is over coated with a radiation sensitive-layer (e.g., resist).
    Type: Grant
    Filed: March 10, 2014
    Date of Patent: March 17, 2015
    Assignees: ASML Holding N.V., ASML Netherlands B.V.
    Inventors: Harry Sewell, Mircea Dusa, Richard Johannes Franciscus Van Haren, Manfred Gawein Tenner, Maya Angelova Doytcheva
  • Patent number: 8895229
    Abstract: A composition for formation of upper layer film, which is used for forming an upper layer film on the surface of a photoresist film and which comprises a resin (A) having a repeating unit represented by the following general formula (1-1) and not having a repeating unit represented by the following general formula (1-2), and a resin (B) having a repeating unit represented by the following general formula (1-2) and not having a repeating unit represented by the following general formula (1-1). [In the general formulas (1-1) and (1-2), R1 is hydrogen or the like; R2 is single bonds or the like; and R3 is a fluorine-substituted, linear or branched alkyl group having 1 to 12 carbon atoms, or the like.] The composition can form an upper layer film giving a sufficiently high receded contact angle.
    Type: Grant
    Filed: October 11, 2007
    Date of Patent: November 25, 2014
    Assignee: JSR Corporation
    Inventors: Yukio Nishimura, Norihiko Sugie, Hiromitsu Nakashima, Norihiro Natsume, Daita Kouno
  • Patent number: 8877425
    Abstract: A resist underlayer film forming composition for lithography includes: as a component (I), a fluorine-containing highly branched polymer obtained by polymerizing a monomer A having two or more radical polymerizable double bonds in the molecule thereof, a monomer B having a fluoroalkyl group and at least one radical polymerizable double bond in the molecule thereof, and a monomer D having a silicon atom-containing organic group and at least one radical polymerizable double bond in the molecule thereof, in the presence of a polymerization initiator C in a content of 5% by mole or more and 200% by mole or less, based on the total mole of the monomer A, the monomer B, and the monomer D; and as a component (II), a hydrolyzable silane compound, a hydrolysis product thereof, a hydrolysis-condensation product thereof, or a silicon-containing compound that is a combination of these compounds.
    Type: Grant
    Filed: October 20, 2011
    Date of Patent: November 4, 2014
    Assignee: Nissan Chemical Industries, Ltd.
    Inventors: Yuta Kanno, Makoto Nakajima, Tomoko Misaki, Motonobu Matsuyama, Masayuki Haraguchi
  • Patent number: 8859194
    Abstract: A polymer compound and a resist protective film composition for an immersion lithography process including the same.
    Type: Grant
    Filed: May 17, 2013
    Date of Patent: October 14, 2014
    Assignee: Dongjin Semichem Co., Ltd.
    Inventors: Man Ho Han, Jong Kyoung Park, Hyun Jin Kim, Jae Hyun Kim
  • Publication number: 20140272728
    Abstract: A method of treating a substrate includes directing first ions over a first range of angles to one or more photoresist features disposed on the substrate, the first ions effective to generate an altered layer in the one or more photoresist features, the altered surface layer encapsulating an inner portion of the one or more photoresist features, and directing second ions different from the first ions over a second range of angles to the one or more photoresist features, the second ions effective to generate gaseous species in the inner regions of the one or more photoresist features.
    Type: Application
    Filed: March 14, 2013
    Publication date: September 18, 2014
    Applicant: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
    Inventor: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
  • Patent number: 8828493
    Abstract: Methods are disclosed for forming a layered structure comprising a self-assembled material. An initial patterned photoresist layer is treated photochemically, thermally, and/or chemically to form a treated patterned photoresist layer comprising a non-crosslinked treated photoresist. The treated photoresist is insoluble in an organic solvent suitable for casting a material capable of self-assembly. A solution comprising the material capable of self-assembly dissolved in the organic solvent is casted on the treated layer, and the organic solvent is removed. The casted material is allowed to self-assemble with optional heating and/or annealing, thereby forming the layered structure comprising the self-assembled material. The treated photoresist can be removed using an aqueous base and/or a second organic solvent.
    Type: Grant
    Filed: December 18, 2009
    Date of Patent: September 9, 2014
    Assignee: International Business Machines Corporation
    Inventors: Joy Cheng, William D. Hinsberg, Charles Thomas Rettner, Daniel Paul Sanders
  • Patent number: 8821978
    Abstract: A method of forming a layered structure comprising a domain pattern of a self-assembled material utilizes a negative-tone patterned photoresist layer comprising non-crosslinked developed photoresist. The developed photoresist is not soluble in an organic casting solvent for a material capable of self-assembly. The developed photoresist is soluble in an aqueous alkaline developer and/or a second organic solvent. A solution comprising the material capable of self-assembly and the organic casting solvent is casted on the patterned photoresist layer. Upon removal of the organic casting solvent, the material self-assembles, thereby forming the layered structure.
    Type: Grant
    Filed: December 18, 2009
    Date of Patent: September 2, 2014
    Assignee: International Business Machines Corporation
    Inventors: Joy Cheng, William D. Hinsberg, Ho-Cheol Kim, Young-Hye Na, Daniel Paul Sanders, Linda Karin Sundberg, Hoa D. Truong, Gregory Michael Wallraff, Atsuko Ito
  • Patent number: 8822128
    Abstract: The present invention provides a production method of a resist composition for lithography, comprising, at least: a filtering step for filtering a resist composition for lithography by a filter therethrough, wherein in the filtering step, a colloidal, sol is passed through the filter from upstream thereof to adsorb colloidal particles to the filter, and then the resist composition for lithography is passed through the filter, thereby removing fine particles in the resist composition for lithography therefrom. There can be provided a resist composition for lithography capable of decreasing occurrences of defects such as coating defects and pattern defects.
    Type: Grant
    Filed: September 5, 2012
    Date of Patent: September 2, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Motoaki Iwabuchi, Tsutomu Ogihara
  • Patent number: 8815496
    Abstract: The method of patterning a photosensitive layer includes providing a substrate including a first layer formed thereon, treating the substrate including the first layer with cations, forming a first photosensitive layer over the first layer, patterning the first photosensitive layer to form a first pattern, treating the first pattern with cations, forming a second photosensitive layer over the treated first pattern, patterning the second photosensitive layer to form a second pattern, and processing the first layer using the first and second patterns as a mask.
    Type: Grant
    Filed: February 22, 2013
    Date of Patent: August 26, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsiao-Tzu Lu, Kuei Shun Chen, Tsiao-Chen Wu, Vencent Chang, George Liu
  • Patent number: 8773636
    Abstract: Technologies are generally described for methods, systems, and structures that include patterns formed by optical lithography. In some example methods, a photoresist layer is applied to a substrate, and a grapheme layer can be applied to the photoresist layer. Light can be applied through a mask to the graphene layer, where the mask includes a pattern. The light can form the pattern on the graphene layer such that the pattern forms on the photoresist layer.
    Type: Grant
    Filed: July 25, 2013
    Date of Patent: July 8, 2014
    Assignee: Empire Technology Development, LLC
    Inventors: Thomas A. Yager, Seth Adrian Miller
  • Patent number: 8735049
    Abstract: A method of making a relief printing element in a liquid photopolymer platemaking process is described. The method comprises the steps of: (a) selectively exposing the liquid photopolymer to actinic radiation through a negative to crosslink and cure portions of the liquid photopolymer; and (b) reclaiming uncured portions of the liquid photopolymer to be reused in the platemaking process. The step of reclaiming uncured portions of the liquid photopolymer comprises (i) heating the printing element to decrease the viscosity of the uncured liquid photopolymer; and (ii) removing uncured liquid photopolymer from the surface of the relief image printing element so that recovery of uncured liquid photopolymer from the surface of the relief image printing element is enhanced.
    Type: Grant
    Filed: May 22, 2012
    Date of Patent: May 27, 2014
    Inventor: Ryan W. Vest
  • Patent number: 8728706
    Abstract: A radiation-sensitive resin composition includes a first polymer including an acid-labile group, an acid generator to generate an acid upon exposure to radiation, and a second polymer including a fluorine atom and a functional group shown by a general formula (x). The second polymer has a fluorine atom content higher than a fluorine atom content of the first polymer. R1 represents an alkali-labile group. A represents an oxygen atom, —NR?—, —CO—O—# or —SO2—O—##, wherein the oxygen atom represented by A is not an oxygen atom bonded directly to an aromatic ring, a carbonyl group, or a sulfoxyl group, R? represents a hydrogen atom or an alkali-labile group, and “#” and “##” indicates a bonding hand bonded to R1.
    Type: Grant
    Filed: March 15, 2012
    Date of Patent: May 20, 2014
    Assignee: JSR Corporation
    Inventors: Yuusuke Asano, Mitsuo Satou, Hiromitsu Nakashima, Kazuki Kasahara, Yoshifumi Oizumi, Masafumi Hori, Takanori Kawakami, Yasuhiko Matsuda, Kazuo Nakahara
  • Patent number: 8709908
    Abstract: A system and method of manufacturing a semiconductor device lithographically and an article of manufacture involving a lithographic double patterning process having a dye added to either the first or second lithographic pattern are provided. The dye is used to detect the location of the first lithographic pattern and to directly align the second lithographic pattern to it. The dye may be fluorescent, luminescent, absorbent, or reflective at a specified wavelength or a given wavelength band. The wavelength may correspond to the wavelength of an alignment beam. The dye allows for detection of the first lithographic pattern even when it is over coated with a radiation sensitive-layer (e.g., resist).
    Type: Grant
    Filed: March 16, 2010
    Date of Patent: April 29, 2014
    Assignees: ASML Holding N.V., ASML Netherlands B.V.
    Inventors: Harry Sewell, Mircea Dusa, Richard Johannes Franciscus Van Haren, Manfred Gawein Tenner, Maya Angelova Doytcheva
  • Patent number: 8697344
    Abstract: A composition for forming an upper layer film includes a solvent and a resin component including a first resin having a first repeating unit and a second repeating unit. The first repeating unit is a repeating unit represented by a formula (1-1), a repeating unit represented by a formula (1-2), a repeating unit represented by a formula (1-3), or a combination thereof. The second repeating unit is a repeating unit represented by a formula (2-1), a repeating unit represented by a formula (2-2), or both thereof. The composition is to be used for forming the upper layer film in liquid immersion lithography.
    Type: Grant
    Filed: April 1, 2013
    Date of Patent: April 15, 2014
    Assignee: JSR Corporation
    Inventors: Daita Kouno, Norihiko Sugie, Gouji Wakamatsu, Norihiro Natsume, Yukio Nishimura, Makoto Sugiura
  • Patent number: 8623589
    Abstract: The present invention relates to an antireflective coating composition comprising a crosslinking agent, a polymer comprising at least one chromophore group and at least one hydroxyl and/or a carboxyl group, and an additive, further where the additive has structure 1 and comprises at least one arylene-hydroxyl moiety, where Y is selected from an carboxylate anion or sulfonate anion, R1, R2, and R3 are independently selected from unsubstituted C1-C8 alkyl, substituted C1-C8 alkyl, aryl and arylene-hydroxyl; X1, X2, and X3 are independently selected from direct valence bond and C1-C8 alkylene group, and, n=1, 2 or 3. The invention further relates to a process for using the composition.
    Type: Grant
    Filed: June 6, 2011
    Date of Patent: January 7, 2014
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Takanori Kudo, Alberto Dioses, Edward Ng, Srinivasan Chakrapani, Munirathna Padmanaban
  • Patent number: 8563229
    Abstract: Spacers are formed by pitch multiplication and a layer of negative photoresist is deposited on and over the spacers to form additional mask features. The deposited negative photoresist layer is patterned, thereby removing photoresist from between the spacers in some areas. During patterning, it is not necessary to direct light to the areas where negative photoresist removal is desired, and the clean removal of the negative photoresist from between the spacers is facilitated. The pattern defined by the spacers and the patterned negative photoresist is transferred to one or more underlying masking layers before being transferred to a substrate.
    Type: Grant
    Filed: July 31, 2007
    Date of Patent: October 22, 2013
    Assignee: Micron Technology, Inc.
    Inventor: Luan C. Tran
  • Patent number: 8541165
    Abstract: A resin film forming method for forming a resin film on a substrate includes forming an intermediate layer on the substrate which includes an inorganic composition as a main component to chemically bond the resin film to be formed on the substrate to the substrate, carrying out a treatment on the substrate to remove an edge of the intermediate layer from an edge of the substrate, forming the resin film on the substrate by spin coating, chemically bonding the resin film to the substrate and hardening the resin film, and removing an edge of the resin film from the edge of the substrate by applying vibrations to the hardened resin film.
    Type: Grant
    Filed: June 8, 2011
    Date of Patent: September 24, 2013
    Assignee: Fujitsu Limited
    Inventor: Tomomi Sato
  • Patent number: 8524442
    Abstract: A combined laminating and exposing apparatus for exposing a photosensitive printing blank to actinic radiation in a printing plate manufacturing system and a method of using the same are disclosed. The photosensitive printing blank comprises a backing layer, at least one photocurable layer disposed on the backing layer, and a laser ablatable mask layer disposed on the at least one photocurable layer, wherein the laser ablatable mask layer is laser ablated to create an in situ negative in the laser ablatable mask layer. The exposing apparatus comprises: (a) a laminating apparatus for laminating an oxygen barrier layer to a top of the laser ablated mask layer; (b) a conveyor; (c) a first exposing device for imagewise exposing the at least one photocurable layer to actinic radiation, and (d) a second exposing device for exposing the at least one photocurable layer to actinic radiation through the backing layer.
    Type: Grant
    Filed: February 13, 2012
    Date of Patent: September 3, 2013
    Inventors: David A. Recchia, Kyle P. Baldwin, Timothy Gotsick
  • Patent number: 8465903
    Abstract: Methods for forming photoresists sensitive to radiation on a substrate are provided. Described are chemical vapor deposition methods of forming films (e.g., silicon-containing films) as photoresists using a plasma which may be exposed to radiation to form a pattern. The deposition methods utilize precursors with cross-linkable moieties that will cross-link upon exposure to radiation. Radiation may be carried out in the with or without the presence of oxygen. Exposed or unexposed areas may then be developed in an aqueous base developer.
    Type: Grant
    Filed: October 6, 2011
    Date of Patent: June 18, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Timothy W. Weidman, Timothy Michaelson, Paul Deaton, Nitin K. Ingle, Abhijit Basu Mallick, Amit Chatterjee
  • Patent number: 8460856
    Abstract: A photosensitive material for use in semiconductor manufacture comprises a copolymer that includes a plurality of photoresist chains and a plurality of hydrophobic chains, each hydrophobic chain attached to the end of one of the photoresist chains. The copolymer in response to externally applied energy will self-assemble to a photoresist layer and a hydrophobic layer.
    Type: Grant
    Filed: September 21, 2011
    Date of Patent: June 11, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsiao-Wei Yeh, Jen-Chieh Shih, Jian-Hong Chen
  • Patent number: 8460857
    Abstract: In the case in which a film for a resist is formed by spin coating, there is a resist material to be wasted, and the process of edge cleaning is added as required. Further, when a thin film is formed on a substrate using a vacuum apparatus, a special apparatus or equipment to evacuate the inside of a chamber vacuum is necessary, which increases manufacturing cost. The invention is characterized by including: a step of forming conductive layers on a substrate having a dielectric surface in a selective manner with a CVD method, an evaporation method, or a sputtering method; a step of discharging a compound to form resist masks so as to come into contact with the conductive layer; a step of etching the conductive layers with plasma generating means using the resist masks under the atmospheric pressure or a pressure close to the atmospheric pressure; and a step of ashing the resist masks with the plasma generating means under the atmospheric pressure or a pressure close to the atmospheric pressure.
    Type: Grant
    Filed: October 3, 2008
    Date of Patent: June 11, 2013
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Hideaki Kuwabara
  • Patent number: 8440387
    Abstract: A topcoat material for immersion lithography and a method of performing immersion lithography using the topcoat material. The topcoat material includes a mixture of a first polymer and a second polymer. The first and second polymers of the topcoat material, when the topcoat material is formed into a topcoat layer between an immersion fluid and a photoresist layer, disperse non-homogenously throughout the topcoat layer.
    Type: Grant
    Filed: January 14, 2010
    Date of Patent: May 14, 2013
    Assignee: International Business Machines Corporation
    Inventors: Robert D. Allen, Phillip Brock, Daniel P. Sanders, Linda K. Sundberg
  • Patent number: 8426112
    Abstract: There is provided a resist underlayer film for lithography causing no intermixing with a photoresist and having a dry etching rate higher than that of the photoresist, and a resist underlayer film forming composition for forming the underlayer film. A resist underlayer film forming composition for lithography comprising: a polymer containing a partial structure of Formula (1): where X1 is a group of Formula (2), Formula (3), Formula (4) or Formula (4-1): and a solvent. The polymer may contain, besides the partial structure of Formula (1), a partial structure of Formula (5): (R1)a(R3)bSi(O—)4?(a+b)??Formula (5) and/or a partial structure of Formula (6): [(R4)cSi(O—)3?c]2Y??Formula (6).
    Type: Grant
    Filed: September 10, 2008
    Date of Patent: April 23, 2013
    Assignee: Nissan Chemical Industries, Ltd.
    Inventors: Makoto Nakajima, Wataru Shibayama, Yuta Kanno
  • Patent number: 8415088
    Abstract: A method for forming a material layer with an anti-reflective layer as the top surface. The method comprises steps of providing a material layer and performing an ion implantation process to change a plurality of physical properties of a portion of the material layer near a top surface of the material layer so as to covert the portion of the material layer into an anti-reflective layer.
    Type: Grant
    Filed: March 15, 2006
    Date of Patent: April 9, 2013
    Assignee: MACRONIX International Co., Ltd.
    Inventor: Yu-Lin Yen
  • Patent number: 8415083
    Abstract: This invention provides methods of creating via or trench structures on a developer-soluble hardmask layer using a multiple exposure-development process. The hardmask layer is patterned while the imaging layer is developed. After the imaging layer is stripped using organic solvents, the same hardmask can be further patterned using subsequent exposure-development processes. Eventually, the pattern can be transferred to the substrate using an etching process.
    Type: Grant
    Filed: May 24, 2011
    Date of Patent: April 9, 2013
    Assignee: Brewer Science Inc.
    Inventors: Sam X. Sun, Hao Xu, Tony D. Flaim
  • Patent number: 8394576
    Abstract: The method of patterning a photosensitive layer includes providing a substrate including a first layer formed thereon, treating the substrate including the first layer with cations, forming a first photosensitive layer over the first layer, patterning the first photosensitive layer to form a first pattern, treating the first pattern with cations, forming a second photosensitive layer over the treated first pattern, patterning the second photosensitive layer to form a second pattern, and processing the first layer using the first and second patterns as a mask.
    Type: Grant
    Filed: January 10, 2012
    Date of Patent: March 12, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsiao-Tzu Lu, Kuei Shun Chen, Tsiao-Chen Wu, Vencent Chang, George Liu
  • Patent number: 8329387
    Abstract: The present invention relates to an antireflective coating composition comprising a novel polymer without an aromatic chromophore, where the polymer comprises a structural unit derived from an aminoplast and a structural unit derived from a diol, triol, dithiol, trithiol, other polyols, diacid, triacid, other polyacids, diimide or mixture thereof, where the diol, dithiol, triol, trithiol, diacid, triacid, diimide, diamide or imide-amide optionally contain one or more nitrogen and/or sulfur atoms or contain one or more alkene groups. The invention also relates to the novel polymer and a process for using the novel antireflective coating composition in a lithographic process.
    Type: Grant
    Filed: July 8, 2008
    Date of Patent: December 11, 2012
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Huirong Yao, Guanyang Lin, Jian Yin, Hengpeng Wu, Mark Neisser, Ralph Dammel
  • Patent number: 8323880
    Abstract: Disclosed is a positive resist processing liquid composition which is composed of an aqueous solution containing a quaternary ammonium hydroxide represented by the following general formula (I). In the formula, R1 and R3 independently represent a methyl group, and R2 represents an alkyl group having 12-18 carbon atoms.
    Type: Grant
    Filed: August 10, 2007
    Date of Patent: December 4, 2012
    Assignee: Kanto Kagaku Kabushiki Kaisha
    Inventors: Yutaka Murakami, Norio Ishikawa, Taku Murata, Kenji Saito, Ryosuke Araki
  • Patent number: 8323875
    Abstract: Disclosed is a method for forming banks during the fabrication of electronic devices incorporating an organic semiconductor material that includes preparing an aqueous coating composition having at least a water-soluble polymer, a UV curing agent and a water-soluble fluorine compound. This coating composition is applied to a substrate, exposed using UV radiation and then developed using an aqueous developing composition to form the bank pattern. Because the coating composition can be developed using an aqueous composition rather than an organic solvent or solvent system, the method tends to preserve the integrity of other organic structures present on the substrate. Further, the incorporation of the fluorine compound in the aqueous solution provides a degree of control over the contact angles exhibited on the surface of the bank pattern and thereby can avoid or reduce subsequent surface treatments.
    Type: Grant
    Filed: December 4, 2006
    Date of Patent: December 4, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Bon Won Koo, Joon Yong Park, Jung Seok Hahn, Joo Young Kim, Kook Min Han, Sang Yoon Lee
  • Patent number: 8283112
    Abstract: A photoresist processing method includes treating a substrate with a sulfur-containing substance. A positive-tone photoresist is applied on and in contact with the treated substrate. The method includes selectively exposing a portion of the photoresist to actinic energy and developing the photoresist to remove the exposed portion and to form a photoresist pattern on the substrate. The treating with a sulfur-containing substance reduces an amount of residual photoresist intended for removal compared to an amount of residual photoresist that remains without the treating.
    Type: Grant
    Filed: June 17, 2011
    Date of Patent: October 9, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Kevin J. Torek, Todd R. Abbott, Sandra Tagg, Amy Weatherly
  • Patent number: 8278026
    Abstract: A method for improving the efficiency of the electron-beam exposure is provided, comprising: step 1) coating a positive photoresist on a wafer to be processed, and performing a pre-baking; step 2) separating pattern data, optically exposing a group of relatively large patterns, and then performing a post-baking; step 3) developing the positive photoresist; step 4) performing a plasma fluorination; step 5) performing a baking to solidify the photoresist; step 6) coating a negative electron-beam resist and performing a pre-baking; step 7) electron-beam exposing a group of fine patterns; step 8) performing a post-baking; and step 9) developing the negative electron-beam resist, so that the fabrication of the patterns is finished. According to the invention, it is possible to save 30-60% of the exposure time. Thus, the exposure efficiency is significantly improved, and the cost is greatly reduced. Further, the method is totally compatible with the CMOS processes, without the need of any special equipments.
    Type: Grant
    Filed: February 15, 2011
    Date of Patent: October 2, 2012
    Assignee: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Qiuxia Xu, Gaobo Xu