Including Heating Patents (Class 430/330)
  • Patent number: 8945816
    Abstract: A method for producing a semiconductor device includes forming a resist pattern by coating a resist pattern thickening material to cover the surface of the resist pattern, baking the resist pattern thickening material, and developing and separating the resist pattern thickening material, wherein at least one of the coating, the baking and the developing is carried out plural times.
    Type: Grant
    Filed: December 29, 2011
    Date of Patent: February 3, 2015
    Assignee: Fujitsu Limited
    Inventors: Miwa Kozawa, Koji Nozaki
  • Patent number: 8945808
    Abstract: Resist compositions that can be used in immersion lithography without the use of an additional topcoat are disclosed. The resist compositions comprise a photoresist polymer, at least one photoacid generator, a solvent; and a self-topcoating resist additive. A method of forming a patterned material layer on a substrate using the resist composition is also disclosed.
    Type: Grant
    Filed: April 28, 2006
    Date of Patent: February 3, 2015
    Assignee: International Business Machines Corporation
    Inventors: Robert Allen David, Phillip Joe Brock, Carl E Larson, Daniel Paul Sanders, Ratnam Sooriyakumaran, Linda Karin Sundberg, Hoa D Truong, Gregory Michael Wallraff
  • Patent number: 8932803
    Abstract: A pattern is formed by coating a first chemically amplified positive resist composition comprising a resin comprising recurring units having an acid labile group so that it may turn soluble in alkaline developer upon elimination of the acid labile group, a photoacid generator, and a first organic solvent, onto a processable substrate, prebaking, exposing, PEB, and developing in an alkaline developer to form a positive pattern; heating the positive pattern to render it resistant to a second organic solvent used in a second resist composition; coating the second resist composition, prebaking, exposing, PEB, and developing in a third organic solvent to form a negative pattern. The positive pattern and the negative pattern are simultaneously formed.
    Type: Grant
    Filed: December 13, 2013
    Date of Patent: January 13, 2015
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Kazuhiro Katayama
  • Patent number: 8927200
    Abstract: A double patterning method includes providing a first resist film on a substrate using a first photoresist composition. The first resist film is exposed. The exposed first resist film is developed using a first developer to form a first resist pattern. A second resist film is provided in at least space areas of the first resist pattern using a second photoresist composition. The second resist film is exposed. The exposed second resist film is developed using a second developer that includes an organic solvent to form a second resist pattern. The first resist pattern is insoluble or scarcely soluble in the second developer.
    Type: Grant
    Filed: October 22, 2013
    Date of Patent: January 6, 2015
    Assignee: JSR Corporation
    Inventors: Kanako Meya, Takeo Shioya, Motoyuki Shima
  • Patent number: 8921029
    Abstract: A resist composition having a resin having a structural unit represented by the formula (I), a resin being insoluble or poorly soluble in alkali aqueous solution, but becoming soluble in an alkali aqueous solution by the action of an acid and not including the structural unit represented by the formula (I), and an acid generator represented by the formula (II), wherein R1, A1, R2, RII1, RII2, LII1, YII1, RII3, RII4, RII5, RII6, RII7, n, s and RII8 are defined in the specification.
    Type: Grant
    Filed: July 18, 2012
    Date of Patent: December 30, 2014
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Koji Ichikawa, Yukako Anryu, Shingo Fujita
  • Patent number: 8916330
    Abstract: The present invention provides a resist composition giving a resist pattern excellent in CD uniformity and focus margin. A chemically amplified photoresist composition comprises a resin (A) and an acid generator (B), and the resin (A) contains, as a part or an entirety thereof, a copolymer (A1) which is obtained by polymerizing at least: a (meth)acrylic monomer (a1) having C5-20 alicyclic hydrocarbon group which becomes soluble in an aqueous alkali solution by the action of an acid; a (meth)acrylic monomer (a2) having a hydroxy group-containing adamantyl group; and a (meth)acrylic monomer (a3) having a lactone ring, and the copolymer (A1) has a weight-average molecular weight of 2500 or more and 5000 or less, and a content of the copolymer (A1) is not less than 50 parts by mass with respect to 100 parts by mass of the resin (A).
    Type: Grant
    Filed: June 23, 2010
    Date of Patent: December 23, 2014
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Koji Ichikawa, Takashi Hiraoka
  • Patent number: 8916327
    Abstract: There is provided an underlayer coating forming composition for lithography, and an underlayer coating having a high dry etching rate compared with photoresist, and causing no intermixing with the photoresist, which are used in lithography process of manufacture of semiconductor device. Concretely, it is an underlayer coating forming composition for lithography comprising a dextrin ester compound that at least 50% of hydroxy groups in dextrin is converted into ester groups, a crosslinking compound, and an organic solvent.
    Type: Grant
    Filed: October 29, 2004
    Date of Patent: December 23, 2014
    Assignee: Nissan Chemical Industries, Ltd.
    Inventors: Satoshi Takei, Yasushi Sakaida, Tetsuya Shinjo
  • Patent number: 8911932
    Abstract: Disclosed are the deactivation mechanism and chemistry platforms that make high-silicon hardmask films photo-imageable like positive-tone photoresist for microphotolithography. The deactivation mechanism requires a catalyst to promote crosslinking reactions, and a photoacid generator to deactivate the catalyst. The initial hardmask films are soluble in developers. If not radiated, films become insoluble in developers due to crosslinking reactions promoted by catalyst. If radiated, films remain soluble in developers due to deactivation of catalyst by photoacid generator. Compositions of positive-tone photo-imageable hardmask based on the chemistry of polysiloxane and polysilsesquioxanes are disclosed as well. Also disclosed is a method of modifying polysiloxane and polysilsesquioxane films for controlled diffusion of catalysts, photoacid generators, and quenchers.
    Type: Grant
    Filed: April 12, 2010
    Date of Patent: December 16, 2014
    Inventor: Sam Xunyun Sun
  • Patent number: 8911929
    Abstract: An aqueous solution containing 0.1-10 wt % of a guanidine is a useful developer for photosensitive resist materials. A resist pattern is formed by applying a chemically amplified positive resist composition onto a substrate to form a coating, baking, exposing the coating to high-energy radiation, and developing the exposed coating in a guanidine-containing aqueous solution.
    Type: Grant
    Filed: November 20, 2013
    Date of Patent: December 16, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventor: Jun Hatakeyama
  • Patent number: 8911927
    Abstract: The present invention relates to barrier layer compositions that are applied above a photoresist composition for immersion lithography processing. In a further aspect, new methods are provided for immersion lithography processing.
    Type: Grant
    Filed: August 24, 2010
    Date of Patent: December 16, 2014
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Michael K. Gallagher, Gerald B. Wayton, Gregory P. Prokopowicz, Stewart A. Robertson
  • Patent number: 8900793
    Abstract: There is disclosed a polymer having a repeating unit shown by the following general formula (1). There can be, in a photolithography using a high energy beam such as an ArF excimer laser beam and an EUV as a light source, (1) a polymer that gives a resist composition having an appropriate adhesion with a substrate and being capable of forming a pattern having excellent resolution, especially an excellent rectangular pattern profile, (2) a chemically amplified resist composition containing the said polymer, and (3) a patterning process using the said chemically amplified resist composition.
    Type: Grant
    Filed: May 21, 2012
    Date of Patent: December 2, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Masayoshi Sagehashi, Youichi Ohsawa, Koji Hasegawa, Tomohiro Kobayashi
  • Patent number: 8900796
    Abstract: The present invention provides an acid generator generates a sulfonic acid represented by the following general formula (1) in response to high-energy beam or heat: To provide a novel acid generator which is suitably used as an acid generator for a resist composition, which solves the problems of LER and a depth of focus and can be effectively and widely used particularly without degradation of a resolution, a chemically amplified resist composition using the same, and a patterning process.
    Type: Grant
    Filed: February 15, 2013
    Date of Patent: December 2, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Masaki Ohashi, Tomohiro Kobayashi, Masayoshi Sagehashi
  • Patent number: 8900799
    Abstract: A thin film patterning method may include forming a thin film by coating a precursor solution containing a precursor of metal oxide onto a substrate, soft baking the thin film, exposing the thin film to light by using a photomask, developing the thin film, and hard baking the developed thin film. The precursor may include metal acetate, for example, a zinc acetate-based material, and the metal oxide thin film may include zinc oxide (ZnO).
    Type: Grant
    Filed: August 17, 2012
    Date of Patent: December 2, 2014
    Assignees: Samsung Electronics Co., Ltd., Samsung Display Co., Ltd.
    Inventors: Jong-baek Seon, Myung-kwan Ryu, Sang-yoon Lee
  • Patent number: 8895229
    Abstract: A composition for formation of upper layer film, which is used for forming an upper layer film on the surface of a photoresist film and which comprises a resin (A) having a repeating unit represented by the following general formula (1-1) and not having a repeating unit represented by the following general formula (1-2), and a resin (B) having a repeating unit represented by the following general formula (1-2) and not having a repeating unit represented by the following general formula (1-1). [In the general formulas (1-1) and (1-2), R1 is hydrogen or the like; R2 is single bonds or the like; and R3 is a fluorine-substituted, linear or branched alkyl group having 1 to 12 carbon atoms, or the like.] The composition can form an upper layer film giving a sufficiently high receded contact angle.
    Type: Grant
    Filed: October 11, 2007
    Date of Patent: November 25, 2014
    Assignee: JSR Corporation
    Inventors: Yukio Nishimura, Norihiko Sugie, Hiromitsu Nakashima, Norihiro Natsume, Daita Kouno
  • Patent number: 8895231
    Abstract: A pattern is formed by coating a first positive resist composition comprising a base resin, a photoacid generator, and a base generator having both a 9-fluorenylmethyloxycarbonyl-substituted amino group and a carboxyl group onto a substrate to form a first resist film, patternwise exposure, PEB, and development to form a first resist pattern, heating the first resist pattern for causing the base generator to generate a base for inactivating the pattern to acid, coating a second positive resist composition comprising an alcohol and an optional ether onto the first resist pattern-bearing substrate to form a second resist film, patternwise exposure, PEB, and development to form a second resist pattern.
    Type: Grant
    Filed: September 2, 2011
    Date of Patent: November 25, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Kazuhiro Katayama
  • Patent number: 8895230
    Abstract: The invention described herein is directed towards spin-on carbon materials comprising polyamic acid compositions and a crosslinker in a solvent system. The materials are useful in trilayer photolithography processes. Films made with the inventive compositions are not soluble in solvents commonly used in lithographic materials, such as, but not limited to PGME, PGMEA, and cyclohexanone. However, the films can be dissolved in developers commonly used in photolithography. In one embodiment, the films can be heated at high temperatures to improve the thermal stability for high temperature processing. Regardless of the embodiment, the material can be applied to a flat/planar or patterned surface. Advantageously, the material exhibits a wiggling resistance during pattern transfer to silicon substrate using fluorocarbon etch.
    Type: Grant
    Filed: October 10, 2012
    Date of Patent: November 25, 2014
    Assignee: Brewer Science Inc.
    Inventors: Vandana Krishnamurthy, Daniel M. Sullivan, Yubao Wang, Qin Lin, Sean Simmons
  • Patent number: 8889337
    Abstract: Such a film forming method is provided that can prevent peeling of surface films including a resist film from a substrate during immersion exposure. The film forming method includes the steps of forming surface films including a resist film and a protective film covering the resist film over a surface of a wafer, and forming an edge cap film by supplying an edge cap film material to at least a boundary portion including a periphery of the wafer and peripheries of the surface films such as the protective film.
    Type: Grant
    Filed: November 2, 2007
    Date of Patent: November 18, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Hitoshi Kosugi, Taro Yamamoto, Yoshiaki Yamada, Yasuhito Saiga
  • Patent number: 8889343
    Abstract: Approaches for utilizing laser annealing to optimize lithographic processes such as directed self assembly (DSA) are provided. Under a typical approach, a substrate (e.g., a wafer) will be subjected to a lithographic process (e.g., having a set of stages/phases, aspects, etc.) such as DSA. Before or during such process, a set of laser annealing passes/scans will be made over the substrate to optimize one or more of the stages. In addition, the substrate could be subjected to additional processes such as hotplate annealing, etc. Still yet, in making a series of laser annealing passes, the techniques utilized and/or beam characteristics of each pass could be varied to further optimize the results.
    Type: Grant
    Filed: December 26, 2012
    Date of Patent: November 18, 2014
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Moshe E Preil, Gerard M. Schmid, Richard A. Farrell, Ji Xu, Thomas I. Wallow
  • Patent number: 8883394
    Abstract: The present invention provides a resist composition giving a resist pattern excellent in CD uniformity and focus margin. A chemically amplified photoresist composition comprises a resin (A) and an acid generator (B), and the resin (A) contains, as a part or an entirety thereof, a copolymer (A1) which is obtained by polymerizing at least: a (meth)acrylic monomer (a1) having C5-20 alicyclic hydrocarbon group which becomes soluble in an aqueous alkali solution by the action of an acid; a (meth)acrylic monomer (a2) having a hydroxy group-containing adamantyl group; and a (meth)acrylic monomer (a3) having a lactone ring, and the copolymer (A1) has a weight-average molecular weight of 2500 or more and 5000 or less, and a content of the copolymer (A1) is not less than 50 parts by mass with respect to 100 parts by mass of the resin (A).
    Type: Grant
    Filed: June 23, 2010
    Date of Patent: November 11, 2014
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Koji Ichikawa, Takashi Hiraoka
  • Patent number: 8883646
    Abstract: The present disclosure is directed to a process for the fabrication of a semiconductor device. In some embodiments the semiconductor device comprises a patterned surface. The pattern can be formed from a self-assembled monolayer. The disclosed process provides self-assembled monolayers which can be deposited quickly, thereby increasing production throughput and decreasing cost, as well as providing a pattern having substantially uniform shape.
    Type: Grant
    Filed: August 6, 2012
    Date of Patent: November 11, 2014
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Tsung-Min Huang, Chung-Ju Lee, Chien-Hua Huang
  • Patent number: 8883398
    Abstract: The present application relates to a photoactive compound including an oxime ester group and a phosphonate group together, and a photosensitive resin composition comprising the same, the compound of the present application having excellent storage stability and high-temperature process characteristics.
    Type: Grant
    Filed: May 22, 2013
    Date of Patent: November 11, 2014
    Assignee: LG Chem, Ltd.
    Inventors: Changho Cho, Sunghyun Kim, Han Soo Kim, Sunhwa Kim, Raisa Kharbash, Jongho Park
  • Patent number: 8877641
    Abstract: A method for mitigating line-edge roughness on a semiconductor device. The method includes line-edge roughness mitigation techniques in accordance with embodiments of the present invention. The techniques include: reducing the SiON film thickness below a conventional thickness; increasing the photoresist thickness above a conventional thickness; etching the SiON film with an etch bias power less than a conventional wattage amount with an overetch percentage less than a conventional overetch percentage; removing the SiON film layer immediately after completion of the amorphous carbon film layer etching; and lowering the lower electrode temperature below a conventional temperature.
    Type: Grant
    Filed: December 28, 2009
    Date of Patent: November 4, 2014
    Assignee: Spansion LLC
    Inventor: Calvin T Gabriel
  • Patent number: 8877425
    Abstract: A resist underlayer film forming composition for lithography includes: as a component (I), a fluorine-containing highly branched polymer obtained by polymerizing a monomer A having two or more radical polymerizable double bonds in the molecule thereof, a monomer B having a fluoroalkyl group and at least one radical polymerizable double bond in the molecule thereof, and a monomer D having a silicon atom-containing organic group and at least one radical polymerizable double bond in the molecule thereof, in the presence of a polymerization initiator C in a content of 5% by mole or more and 200% by mole or less, based on the total mole of the monomer A, the monomer B, and the monomer D; and as a component (II), a hydrolyzable silane compound, a hydrolysis product thereof, a hydrolysis-condensation product thereof, or a silicon-containing compound that is a combination of these compounds.
    Type: Grant
    Filed: October 20, 2011
    Date of Patent: November 4, 2014
    Assignee: Nissan Chemical Industries, Ltd.
    Inventors: Yuta Kanno, Makoto Nakajima, Tomoko Misaki, Motonobu Matsuyama, Masayuki Haraguchi
  • Patent number: 8877424
    Abstract: A polymer is prepared from an adamantane methacrylate monomer whose alcoholic hydroxyl group is protected with an alicyclic-containing tertiary alkyl group. A photoresist composition comprising the polymer displays a high sensitivity and a high dissolution contrast during both alkaline development and organic solvent development.
    Type: Grant
    Filed: February 8, 2013
    Date of Patent: November 4, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Masayoshi Sagehashi, Jun Hatakeyama, Koji Hasegawa, Kazuhiro Katayama
  • Patent number: 8877429
    Abstract: A resist pattern-insolubilizing resin composition is used in a resist pattern-forming method. The resist pattern-insolubilizing resin composition includes solvent and a resin. The resin includes a first repeating unit that includes a hydroxyl group in its side chain and at least one of a second repeating unit derived from a monomer shown by a following formula (1-1) and a third repeating unit derived from a monomer shown by a following formula (1-2), wherein for example, R1 represents a hydrogen atom, A represents a methylene group, R2 represents a group shown by a following formula (2-1) or a group shown by a following formula (2-2), R3 represents a methylene group, R4 represents a hydrogen atom, and n is 0 or 1, wherein each of R34 represents at least one of a hydrogen atom and a linear or branched alkyl group having 1 to 10 carbon atoms.
    Type: Grant
    Filed: January 12, 2011
    Date of Patent: November 4, 2014
    Assignee: JSR Corporation
    Inventors: Gouji Wakamatsu, Masafumi Hori, Kouichi Fujiwara, Makoto Sugiura
  • Patent number: 8871430
    Abstract: The present invention relates to a photoactive compound having a novel structure and a photosensitive resin composition including the same, and the photoactive compound according to the present invention has excellent sensitivity due to efficient absorption to a UV light source by including a nitro group and a phosphonate structure, and has excellent retention rate, mechanical strength, heat resistance, chemical resistance and developing resistance by improving solubility of the photosensitive resin composition by excellent compatibility of the phosphonate structure and a binder resin. Therefore, the photosensitive resin composition according to the present invention is useful to cure a column spacer, an overcoat, a passivation material and the like of a liquid crystal display device, and is useful in view of a high temperature process property.
    Type: Grant
    Filed: May 14, 2012
    Date of Patent: October 28, 2014
    Assignee: LG Chem, Ltd.
    Inventors: Changho Cho, Won Jin Chung, Raisa Kharbash, Sunghyun Kim, Dongchang Choi, Sang Chul Lee, Han Soo Kim, Yoon Hee Heo, Sunhwa Kim
  • Patent number: 8871422
    Abstract: A negative-type photosensitive resin composition which is good in sensitivity and resolution, a pattern forming method by the use thereof wherein a pattern which can be developed in an alkali aqueous solution, is excellent in sensitivity, resolution and heat resistance and has a good shape is obtained, and highly reliable electronic parts are provided. The negative-type photosensitive rein composition includes (a) a polymer that has a phenolic hydroxyl group at a terminal and is soluble in the alkali aqueous solution, (b) a compound that generates an acid by irradiating active light, and (c) a compound that can be crosslinked or polymerized by an action of the acid.
    Type: Grant
    Filed: June 20, 2006
    Date of Patent: October 28, 2014
    Assignee: Hitachi Chemical DuPont Microsystems Ltd.
    Inventor: Tomonori Minegishi
  • Patent number: 8869391
    Abstract: A method for producing a wired circuit board includes the steps of preparing a metal supporting layer, forming an insulating layer on the metal supporting layer so as to form an opening, forming a conductive thin film on the insulating layer and on the metal supporting layer that is exposed from the opening of the insulating layer, heating the conductive thin film, forming a conductive pattern on the conductive thin film that is formed on the insulating layer, and forming a metal connecting portion to be continuous to the conductive pattern on the conductive thin film that is formed on the metal supporting layer exposing from the opening of the insulating layer.
    Type: Grant
    Filed: October 25, 2011
    Date of Patent: October 28, 2014
    Assignee: Nitto Denko Corporation
    Inventors: Katsutoshi Kamei, Yuu Sugimoto, Hitoki Kanagawa
  • Patent number: 8871431
    Abstract: A method of tailoring the shape of a plurality of relief dots created in a photosensitive printing blank during a digital platemaking process is provided. The photosensitive printing blank comprises a laser ablatable mask layer disposed on at least one photocurable layer which is mountable on a printing sleeve. The method comprises the steps of (1) laser ablating the laser ablatable mask layer to create an in situ negative in the laser ablatable layer; (2) placing a barrier layer on top of the laser ablatable mask layer; (3) exposing the at least one photocurable layer to actinic radiation through the barrier layer and the in situ negative; (4) removing the barrier layer; and (5) developing the imaged and exposed photosensitive printing blank to reveal the relief image therein, the relief image comprising the plurality of relief dots. The presence of the barrier layer produces printing dots having desired geometric characteristics.
    Type: Grant
    Filed: August 8, 2011
    Date of Patent: October 28, 2014
    Inventors: Timothy Gotsick, David A. Recchia, Ryan W. Vest
  • Patent number: 8865391
    Abstract: A chemically amplified negative resist composition is provided comprising (A) a resin having a crosslinking group, (B) a crosslinker, (C) a photoacid generator capable of generating an acid upon exposure to light of wavelength 190-500 nm, (D) a solvent, and (E) an isocyanuric acid. The resist composition overcomes the stripping problem that the film is stripped from metal wirings of Cu or Al, electrodes, and SiN substrates.
    Type: Grant
    Filed: December 5, 2012
    Date of Patent: October 21, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Katsuya Takemura, Takashi Miyazaki, Hiroyuki Urano
  • Patent number: 8865395
    Abstract: A method of forming a resist pattern, comprising: a step of forming a resist film on a substrate using a resist composition containing a base component (A) which exhibits decreased solubility in an organic solvent under action of acid and an acid-generator component (B) which generates acid upon exposure; a step of subjecting the resist film to exposure; a step of patterning the resist film by a negative-tone development using a developing solution containing the organic solvent to form a resist pattern; a step of applying a coating material to the resist pattern, thereby forming a coating film; a step of performing a thermal treatment at a temperature lower than the softening point of the resist pattern, thereby heat shrinking the coating film to narrow an interval between the resist pattern; and a step of removing the coating film.
    Type: Grant
    Filed: June 6, 2012
    Date of Patent: October 21, 2014
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Tsuyoshi Nakamura, Kiyoshi Ishikawa
  • Patent number: 8859193
    Abstract: An embodiment of the present invention provides a method of applying patterned metallization to a ceramic block comprising applying a photodefinable ink to said ceramic block; drying said ink; exposing said photodefinable ink to UV radiation through a predefined mask according to the thickness of the film to form a pattern; developing said pattern in a developer solution thereby forming a patterned ceramic block; and rinsing, drying and firing said patterned ceramic block.
    Type: Grant
    Filed: August 15, 2013
    Date of Patent: October 14, 2014
    Assignee: BlackBerry
    Inventors: Luna H. Chiu, Chen Zhang, John King, Barry Treadway, George Kang
  • Patent number: 8859190
    Abstract: Novel photo-writable and thermally switchable polymeric materials exhibit a refractive index change of ?n?1.0 when exposed to UV light or heat. For example, lithography can be used to convert a non-conjugated precursor polymer to a conjugated polymer having a higher index-of-refraction. Further, two-photon lithography can be used to pattern high-spatial frequency structures.
    Type: Grant
    Filed: September 4, 2013
    Date of Patent: October 14, 2014
    Assignee: Sandia Corporation
    Inventors: Shawn M. Dirk, Ross Stefan Johnson, Robert Boye, Michael R. Descour, William C. Sweatt, David R. Wheeler, Bryan James Kaehr
  • Patent number: 8859170
    Abstract: Disclosed are: a photosensitive modified polyimide resin composition having photo-fabrication property, which is excellent in the electric properties and adhesion as well as in the heat resistance, flexibility, bending property, low warping, chemical resistance and storage stability; a resin film formed from the composition; and a printed circuit board, flexible printed circuit board (FPC) and the like which comprises the film as an insulating protective film and/or interlayer insulation film. The photosensitive modified polyimide resin composition comprises a modified polyimide of a specific structure having a flexible structure such as polycarbonate; a photosensitizer; a curing agent; and a solvent.
    Type: Grant
    Filed: April 30, 2010
    Date of Patent: October 14, 2014
    Assignee: PI R&D Co., Ltd.
    Inventors: Toshiyuki Goshima, Maw Soe Win, Sigemasa Segawa, Eika Kyo
  • Patent number: 8852726
    Abstract: A photosensitive polymer composition includes (a) a polyamide having a repeating unit represented by the following general formula (I): wherein U represents a tetravalent organic group, V represents a bivalent organic group and p is an integer representing a number of the repeating unit; (b) a compound which generates an acid upon receiving light; and (c) a compound represented by the following general formula (II): wherein m and n are each independently integer of 1 or 2, Rs are each independently hydrogen, alkyl group or acyl group, and R1 and R2 each independently represents fluoroalkyl group having 1 to 3 carbon atoms.
    Type: Grant
    Filed: June 21, 2012
    Date of Patent: October 7, 2014
    Assignee: Hitachi Chemical Dupont Microsystems Ltd.
    Inventors: Masayuki Ooe, Hiroshi Komatsu, Yoshiko Tsumaru, Dai Kawasaki, Kouji Katou, Takumi Ueno
  • Patent number: 8852857
    Abstract: A substrate treatment method of performing treatment on a substrate on which a pattern mask has been formed by exposure and developing treatment to improve roughness of the pattern mask includes the processes of: mounting and heating the substrate on a stage in a treatment container; then supplying a solvent gas to a center portion of a front surface of the substrate while exhausting the solvent gas from a periphery of the substrate to swell the pattern mask; and while performing the process of supplying and exhausting a solvent gas, forming a temperature gradient in the substrate via the stage such that a temperature at the center portion of the substrate is higher than a temperature at a peripheral portion of the substrate.
    Type: Grant
    Filed: February 17, 2012
    Date of Patent: October 7, 2014
    Assignee: Tokyo Electron Limited
    Inventor: Shinji Kobayashi
  • Patent number: 8852846
    Abstract: The present invention provides a salt represented by the formula (I): wherein Q1 and Q2 independently each represent a fluorine atom or a C1-C6 perfluoroalkyl group, L1 represents a C1-C20 divalent saturated hydrocarbon group in which one or more —CH2— can be replaced by —O— or —CO—, W represents a group represented by the formula (W1), (W2), (W3), (W4) or (W5): and Z+ represents an organic counter ion.
    Type: Grant
    Filed: April 4, 2012
    Date of Patent: October 7, 2014
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Yukako Anryu, Koji Ichikawa
  • Patent number: 8846293
    Abstract: The actinic ray-sensitive or radiation-sensitive resin composition of the present invention contains (A) a resin capable of increasing the solubility in an alkaline developer by the action of an acid, and (C) at least one selected from the group of compounds represented by the following formula (ZI-3), (ZI-4) or (ZI-5) and capable of generating an acid upon irradiation of actinic rays or radiation, wherein the resin (A) contains at least one repeating unit having a group capable of decomposing by the action of an acid to leave a leaving group having a ring structure, and the leaving group having a ring structure has at least one of a polar group as a substituent and a polar atom as a part of the ring structure, and a compound derived from the leaving group having a ring structure has a logP value of not less than 0 and less than 2.8.
    Type: Grant
    Filed: March 27, 2012
    Date of Patent: September 30, 2014
    Assignee: FUJIFILM Corporation
    Inventors: Yusuke Iizuka, Akinori Shibuya, Naohiro Tango, Shohei Kataoka
  • Patent number: 8846294
    Abstract: The present invention provides a photoresist composition comprising the following components (A), (B) and (X): (A) a resin being insoluble or poorly soluble in an aqueous alkali solution but becoming soluble in an aqueous alkali solution by the action of an acid, (B) an acid generator, (X) at least one compound selected from the group consisting of a compound represented by the formula (I-a): wherein Z1 represent a C1-C20 divalent saturated aliphatic hydrocarbon group in which one or more —CH2— may be replaced by —O— or —CO—, and a compound represented by the formula (I-b): wherein R1 represents a C1-C20 monovalent saturated aliphatic hydrocarbon group in which one or more hydrogen atoms may be substituted with a hydroxyl group and one or more —CH2— may be replaced by —O— or —CO—, and n represents 0 or 1.
    Type: Grant
    Filed: March 29, 2012
    Date of Patent: September 30, 2014
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Koji Ichikawa, Yukako Anryu, Satoshi Yamaguchi
  • Patent number: 8841059
    Abstract: A negative resist composition, which shows excellent sensitivity and resolution in pattern formation by exposure to electron beams or EUV, a novel crosslinking agent suitable for the resist composition, and a pattern forming method using the resist composition are presented. The negative resist composition comprises: (A) a polyphenol compound comprising two or more phenolic hydroxyl groups in a molecule thereof and having a molecular weight of 300 to 3,000, (B) an acid generator which directly or indirectly produces acid by exposure to active energy rays having a wavelength of 248 nm or less, and (C) a crosslinking agent represented by the chemical formula (1).
    Type: Grant
    Filed: September 29, 2009
    Date of Patent: September 23, 2014
    Assignee: Dai Nippon Printing Co., Ltd.
    Inventors: Kenichi Okuyama, Yasunori Nagatsuka
  • Patent number: 8841058
    Abstract: A photolithography material is provided. The photolithography material is a surface modifying material. The photolithography material includes a polymer (e.g., fluorine polymer) that includes less than approximately 80% hydroxyl groups. In an embodiment, the photolithography material includes less than approximately 80% fluoro-alcohol functional units. Methods of using the photolithography material include as an additive to a photoresist or topcoat layer. The photolithography material may be used in an immersion lithography process.
    Type: Grant
    Filed: October 27, 2010
    Date of Patent: September 23, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Ching-Yu Chang
  • Patent number: 8835091
    Abstract: A micro-structure is manufactured by patterning a sacrificial film, forming an inorganic material film on the pattern, and etching away the sacrificial film pattern through an aperture to define a space having the contour of the pattern. The patterning stage includes the steps of (A) coating a substrate with a composition comprising a cresol novolac resin, a crosslinker, and a photoacid generator, (B) heating to form a sacrificial film, (C) patternwise exposure, (D) development to form a sacrificial film pattern, and (E) forming crosslinks within the cresol novolac resin.
    Type: Grant
    Filed: May 18, 2012
    Date of Patent: September 16, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Yoshinori Hirano, Hideyoshi Yanagisawa
  • Patent number: 8828493
    Abstract: Methods are disclosed for forming a layered structure comprising a self-assembled material. An initial patterned photoresist layer is treated photochemically, thermally, and/or chemically to form a treated patterned photoresist layer comprising a non-crosslinked treated photoresist. The treated photoresist is insoluble in an organic solvent suitable for casting a material capable of self-assembly. A solution comprising the material capable of self-assembly dissolved in the organic solvent is casted on the treated layer, and the organic solvent is removed. The casted material is allowed to self-assemble with optional heating and/or annealing, thereby forming the layered structure comprising the self-assembled material. The treated photoresist can be removed using an aqueous base and/or a second organic solvent.
    Type: Grant
    Filed: December 18, 2009
    Date of Patent: September 9, 2014
    Assignee: International Business Machines Corporation
    Inventors: Joy Cheng, William D. Hinsberg, Charles Thomas Rettner, Daniel Paul Sanders
  • Patent number: 8828642
    Abstract: Disclosed is a positive photosensitive resin composition which is characterized by containing (a) a polysiloxane that is synthesized by hydrolyzing and partially condensing a specific organosilane and an organosilane oligomer, (b) aluminum compound particles, tin compound particles, titanium compound particles, zirconium compound particles, composite particles of the aforementioned compounds or composite particles of any of the aforementioned compounds and a silicon compound, (c) a naphthoquinonediazide compound and (d) a solvent. The positive photosensitive resin composition is also characterized in that the organosilane oligomer contains a specific organosilane. The positive photosensitive resin composition has achieved excellent sensitivity and resolution without deteriorating high refractive index and high transparency.
    Type: Grant
    Filed: September 16, 2010
    Date of Patent: September 9, 2014
    Assignee: Toray Industries, Inc.
    Inventors: Masao Kamogawa, Toru Okazawa, Mitsuhito Suwa
  • Patent number: 8822139
    Abstract: A method for providing an ordered polymer layer at a surface of a substrate includes depositing a self-assemblable polymer layer directly onto a primer layer on a substrate to provide an interface between the self-assemblable polymer layer and the primer layer, and treating the self-assemblable polymer layer to provide self-assembly into an ordered polymer layer, such as a block copolymer, having first and second domain types at the interface. The primer layer is adapted to improve its chemical affinity to each domain type at the interface, in response to the presence of the respective domain type in the self-assembled polymer at the interface during the self-assembly of the self-assemblable polymer layer into the ordered polymer layer. This may lead to reduction in defect levels and/or improved persistence length for the ordered polymer layer. The method may be useful for forming resist layers for use in device lithography.
    Type: Grant
    Filed: January 19, 2011
    Date of Patent: September 2, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Emiel Peeters, Sander Frederik Wuister, Roelof Koole
  • Patent number: 8822136
    Abstract: A negative pattern is formed by coating a resist composition onto a substrate, the resist composition comprising a polymer comprising recurring units having an acid labile group-substituted hydroxyl group, an acid generator, an onium salt of perfluoroalkyl ether carboxylic acid, and an organic solvent, prebaking, exposing, baking, and developing in an organic solvent such that the unexposed region of film is dissolved away and the exposed region of film is not dissolved. In image formation via positive/negative reversal by organic solvent development, the resist film is characterized by a high dissolution contrast between the unexposed and exposed regions.
    Type: Grant
    Filed: October 26, 2012
    Date of Patent: September 2, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Kazuhiro Katayama, Youichi Ohsawa
  • Patent number: 8821978
    Abstract: A method of forming a layered structure comprising a domain pattern of a self-assembled material utilizes a negative-tone patterned photoresist layer comprising non-crosslinked developed photoresist. The developed photoresist is not soluble in an organic casting solvent for a material capable of self-assembly. The developed photoresist is soluble in an aqueous alkaline developer and/or a second organic solvent. A solution comprising the material capable of self-assembly and the organic casting solvent is casted on the patterned photoresist layer. Upon removal of the organic casting solvent, the material self-assembles, thereby forming the layered structure.
    Type: Grant
    Filed: December 18, 2009
    Date of Patent: September 2, 2014
    Assignee: International Business Machines Corporation
    Inventors: Joy Cheng, William D. Hinsberg, Ho-Cheol Kim, Young-Hye Na, Daniel Paul Sanders, Linda Karin Sundberg, Hoa D. Truong, Gregory Michael Wallraff, Atsuko Ito
  • Patent number: 8822130
    Abstract: Disclosed herein is a composition comprising a graft block copolymer comprising a first block polymer; the first block polymer comprising a backbone polymer and a first graft polymer; where the first graft polymer comprises a surface energy reducing moiety; and a second block polymer; the second block polymer being covalently bonded to the first block; wherein the second block comprises the backbone polymer and a second graft polymer; where the second graft polymer comprises a functional group that is operative to crosslink the graft block copolymer; a photoacid generator; and a crosslinking agent.
    Type: Grant
    Filed: November 19, 2012
    Date of Patent: September 2, 2014
    Assignees: The Texas A&M University System, Rohm and Haas Electronics Materials LLC
    Inventors: Sangho Cho, Guorong Sun, Karen L. Wooley, James W. Thackeray, Peter Trefonas, III
  • Patent number: 8815497
    Abstract: Some embodiments include methods of forming patterns. A semiconductor substrate is formed to comprise an electrically insulative material over a set of electrically conductive structures. An interconnect region is defined across the electrically conductive structures, and regions on opposing sides of the interconnect region are defined as secondary regions. A two-dimensional array of features is formed over the electrically insulative material. The two-dimensional array extends across the interconnect region and across the secondary regions. A pattern of the two-dimensional array is transferred through the electrically insulative material of the interconnect region to form contact openings that extend through the electrically insulative material and to the electrically conductive structures, and no portions of the two-dimensional array of the secondary regions is transferred into the electrically insulative material.
    Type: Grant
    Filed: July 15, 2013
    Date of Patent: August 26, 2014
    Assignee: Micron Technology, Inc.
    Inventors: Dan Millward, Kaveri Jain, Zishu Zhang, Lijing Gou, Anton J. deVillers, Jianming Zhou, Yuan He, Michael Hyatt, Scott L. Light
  • Patent number: 8808966
    Abstract: A positive resist composition comprising a polymer having carboxyl groups substituted with an acid labile group having formula (1) exhibits a high contrast of alkaline dissolution rate before and after exposure, a high resolution, a good pattern profile and minimal edge roughness. In formula (1), A is —(CR22)m—, B is —(CR52)n—, R2 and R5 are hydrogen or alkyl, m and n are 1 or 2, R3 is alkyl, alkenyl, alkynyl or aryl, R6 is alkyl, alkoxy, alkanoyl, alkoxycarbonyl, hydroxyl, nitro, aryl, halogen, or cyano, and p is 0 to 4.
    Type: Grant
    Filed: July 25, 2012
    Date of Patent: August 19, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Koji Hasegawa