Including Heating Patents (Class 430/330)
  • Patent number: 8697314
    Abstract: A method of producing a volume hologram laminate which can regenerate a hologram image in an arbitrary wavelength by a simple process. The method uses a volume hologram forming substrate which includes: a substrate, a volume hologram layer formed on the substrate and containing a photopolymerizable material, a resin layer, formed on the substrate so as to contact to the volume hologram layer, containing a resin and a polymerizable compound. The producing method includes processes of: a hologram recording process to record a volume hologram to the volume hologram layer, a substance transit process of transiting the polymerizable compound to the volume hologram layer, and an after-treatment process of polymerizing the polymerizable compound.
    Type: Grant
    Filed: February 28, 2008
    Date of Patent: April 15, 2014
    Assignee: Dai Nippon Printing Co., Ltd.
    Inventors: Minoru Azakami, Koji Eto, Hiroyuki Ohtaki, Yoshihito Maeno, Sakurako Hatori
  • Patent number: 8697332
    Abstract: An object of the present invention is to provide a base generator which has sensitivity and is applicable to a wide range of applications, and a photosensitive resin composition which is applicable to a wide range of applications due to the structure of a polymer precursor in which reaction into a final product is promoted by a basic substance or by heating in the presence of a basic substance. The base generator generates a base by exposure to electromagnetic radiation and heating. The photosensitive resin composition comprises a polymer precursor in which reaction into a final product is promoted by the base generator and a basic substance or by heating in the presence of a basic substance.
    Type: Grant
    Filed: March 26, 2010
    Date of Patent: April 15, 2014
    Assignee: Dai Nippon Printing Co., Ltd.
    Inventors: Mami Katayama, Shunji Fukuda, Katsuya Sakayori, Kouji Kawaguchi
  • Patent number: 8691491
    Abstract: A fluorine-containing compound represented by the formula 1, where R1 is a methyl group or trifluoromethyl group, each of R2 and R3 is independently a hydrogen atom or a group containing (a) a hydrocarbon group having a straight-chain, branched or ring form and having a carbon atom number of 1-25 or (b) an aromatic hydrocarbon group, the group optionally containing at least one of a fluorine atom, an oxygen atom and a carbonyl bond, l is an integer of from 0 to 2, each of m and n is independently an integer of 1-5 to satisfy an expression of m+n?6, and when at least one of R1, R2 and R3 is in a plural number, the at least one of R1, R2 and R3 may be identical with or different from each other.
    Type: Grant
    Filed: April 26, 2011
    Date of Patent: April 8, 2014
    Assignee: Central Glass Company, Limited
    Inventors: Shinichi Sumida, Haruhiko Komoriya, Kazuhiko Maeda
  • Patent number: 8685629
    Abstract: A resist pattern is formed by coating a chemically amplified positive resist composition onto a substrate and prebaking to form a resist film, exposing to high-energy radiation, baking and developing with a developer to form a resist pattern, and heating the pattern for profile correction to such an extent that the line width may not undergo a change of at least 10%. An amount of a softening accelerator having a molecular weight of up to 800 is added to the resist composition comprising (A) a base resin, (B) an acid generator, (C) a nitrogen-containing compound, and (D) an organic solvent.
    Type: Grant
    Filed: January 31, 2012
    Date of Patent: April 1, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Keiichi Masunaga, Takeru Watanabe, Satoshi Watanabe, Daisuke Domon
  • Patent number: 8685618
    Abstract: A resist composition having; (A1) a resin having a structural unit represented by the formula (I), (A2) a resin having a structural unit represented by the formula (II) and being insoluble or poorly soluble in alkali aqueous solution, but becoming soluble in an alkali aqueous solution by the action of an acid and not including the structural unit represented by the formula (I) and (B) an acid generator. wherein R1, A1, A13, X12, A14, R3 and ring X1 are defined in the specification.
    Type: Grant
    Filed: July 18, 2012
    Date of Patent: April 1, 2014
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Koji Ichikawa, Akira Kamabuchi
  • Patent number: 8686098
    Abstract: A fluorine-containing polymer of the present invention contains a repeating unit (a) of the general formula (2) and has a mass-average molecular weight of 1,000 to 1,000,000. This polymer is suitably used in a resist composition for pattern formation by high energy ray radiation of 300 nm or less wavelength or electron beam radiation or a top coat composition for liquid immersion lithography and is characterized as having high water repellency, notably high receding contact angle. In the formula, R1 represents a polymerizable double bond-containing group; R2 represents a fluorine atom or a fluorine-containing alkyl group; R8 represents a substituted or unsubstituted alkyl group or the like; and W1 represents a single bond, a substituted or unsubstituted methylene group or the like.
    Type: Grant
    Filed: May 20, 2010
    Date of Patent: April 1, 2014
    Assignee: Central Glass Company, Limited
    Inventors: Kazunori Mori, Yuji Hagiwara, Masashi Nagamori, Yoshimi Isono, Satoru Narizuka, Kazuhiko Maeda
  • Patent number: 8685619
    Abstract: A resist composition having a resin having a structural unit represented by the formula (I), a resin being insoluble or poorly soluble in alkali aqueous solution, but becoming soluble in an alkali aqueous solution by the action of an acid and not including the structural unit represented by the formula (I), and an acid generator represented by the formula (II), wherein R1, A1, A13, A14, X12, R23, R24, R25, X21 and Z1+ are defined in the specification.
    Type: Grant
    Filed: July 18, 2012
    Date of Patent: April 1, 2014
    Assignee: Sumitomo Chemcial Company, Limited
    Inventors: Koji Ichikawa, Yuichi Mukai, Satoshi Yamamoto
  • Patent number: 8673545
    Abstract: In a method of manufacturing a liquid crystal display device in which a plurality of pixels are arranged in a matrix, each of the pixels has an insulator wall structure at a boundary of the pixels, and a wall electrode is provided at least at a side of the wall structure, the wall structure being formed by: using a chemically amplified resist as a material of the wall structure, a step of applying the chemically amplified resist; a step of exposing and developing the chemically amplified resist; a step of irradiating light on an entire surface to perform post exposure; a step of pre-calcinating the chemically amplified resist at a temperature lower than a main calcination temperature; and a step of performing main calcination at a temperature higher than a pre-calcination temperature.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: March 18, 2014
    Assignee: Japan Display East Inc.
    Inventors: Toshimasa Ishigaki, Daisuke Sonoda, Masanao Yamamoto, Osamu Itou, Takato Hiratsuka
  • Patent number: 8673539
    Abstract: A photosensitive adhesive composition comprising: (A) a polyimide having a carboxyl group as a side chain, whereof the acid value is 80 to 180 mg/KOH; (B) a photo-polymerizable compound; and (C) a photopolymerization initiator.
    Type: Grant
    Filed: November 9, 2012
    Date of Patent: March 18, 2014
    Assignee: Hitachi Chemical Company, Ltd.
    Inventors: Takashi Kawamori, Takashi Masuko, Shigeki Katogi, Masaaki Yasuda
  • Patent number: 8669024
    Abstract: A method of fabricating a color filter substrate and an infrared heating apparatus for the same are provided. A post-baking process is replaced with an infrared irradiation method with a rapid thermal transfer characteristic. Therefore, the yield and production efficiency can be improved. The method of fabricating a color filter substrate includes coating a color resist layer on an entire surface of a substrate, placing a mask on the substrate and exposing the substrate, developing the exposed color resist layer to form a color filter pattern, and curing the color filter pattern by irradiating the substrate with infrared rays.
    Type: Grant
    Filed: December 31, 2007
    Date of Patent: March 11, 2014
    Assignee: LG Display Co., Ltd.
    Inventors: Chulho Kim, Jonggo Lim, Samyeoul Kim, Taeyoung Oh
  • Patent number: 8663899
    Abstract: A resist composition having a resin having a structural unit represented by the formula (I), a resin being insoluble or poorly soluble in alkali aqueous solution, but becoming soluble in an alkali aqueous solution by the action of an acid and not including the structural unit represented by the formula (I), and an acid generator, wherein R1, A1, R2, Rb1, Rb2, Lb1, ring Wb1, Rb3, Rb4, and Z1+ are defined in the specification.
    Type: Grant
    Filed: July 18, 2012
    Date of Patent: March 4, 2014
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Koji Ichikawa, Takashi Hiraoka, Hiromu Sakamoto
  • Patent number: 8663900
    Abstract: A resist composition having a resin having a structural unit represented by the formula (I), a resin being insoluble or poorly soluble in alkali aqueous solution, but becoming soluble in an alkali aqueous solution by the action of an acid and not including the structural unit represented by the formula (I), and an acid generator represented by the formula (II), wherein R1, A1, A13, A14, X12, RII1, RII2, LII1, YII1, RII3, RII4, RII5, RII6, RII7, n, s and RII8 are defined in the specification.
    Type: Grant
    Filed: July 18, 2012
    Date of Patent: March 4, 2014
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Koji Ichikawa, Yukako Anryu, Shingo Fujita
  • Patent number: 8663906
    Abstract: The present invention provides a composition for forming a fine pattern with high dry etching resistance and a method for forming the fine pattern. The composition for fine pattern formation containing: a resin containing a repeating unit having a silazane bond; and a solvent as well as a method for fine pattern formation using the same.
    Type: Grant
    Filed: September 12, 2008
    Date of Patent: March 4, 2014
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Ralph R Dammel, Wen-Bing Kang, Yasuo Shimizu, Tomonori Ishikawa
  • Patent number: 8663897
    Abstract: According to the present invention, there is provided a polymerizable fluorine-containing sulfonic acid onium salt of the following general formula (2) and a resin obtained by polymerization thereof. It is possible by the use of this sulfonate resin of the present invention to provide a resist composition with high resolution, board depth of focus tolerance (DOF), small line edge roughness (LER) and high sensitivity. In the formula, Z represents a substituted or unsubstituted C1-C6 straight or branched alkylene group, or a divalent moiety in which substituted or unsubstituted C1-C6 straight or branched alkylene groups are bonded in series to a divalent group obtained by elimination of two hydrogen atoms from an alicyclic or aromatic hydrocarbon; R represents a hydrogen atom, a halogen atom, or a C1-C3 alkyl or fluorine-containing alkyl group; and Q+ represents a sulfonium cation or an iodonium cation.
    Type: Grant
    Filed: October 8, 2009
    Date of Patent: March 4, 2014
    Assignee: Central Glass Company, Limited
    Inventors: Takashi Masubuchi, Kazunori Mori, Yuji Hagiwara, Satoru Narizuka, Kazuhiko Maeda
  • Patent number: 8663904
    Abstract: Embodiments in accordance with the present invention provide for non-self imageable norbornene-type polymers useful for immersion lithographic processes, methods of making such polymers, compositions employing such polymers and immersion lithographic processes that make use of such compositions. More specifically the embodiments of the present invention are related to norbornene-type polymers useful for forming top-coat layers for overlying photoresist layers in immersion lithographic process and the process thereof.
    Type: Grant
    Filed: August 7, 2013
    Date of Patent: March 4, 2014
    Assignee: Promerus, LLC
    Inventors: Pramod Kandanarachchi, Kazuyoshi Fujita, Steven Smith, Larry F Rhodes
  • Patent number: 8658050
    Abstract: Techniques for minimizing or eliminating pattern deformation during lithographic pattern transfer to inorganic substrates are provided. In one aspect, a method for pattern transfer into an inorganic substrate is provided. The method includes the following steps. The inorganic substrate is provided. An organic planarizing layer is spin-coated on the inorganic substrate. The organic planarizing layer is baked. A hardmask is deposited onto the organic planarizing layer. A photoresist layer is spin-coated onto the hardmask. The photoresist layer is patterned. The hardmask is etched through the patterned photoresist layer using reactive ion etching (RIE). The organic planarizing layer is etched through the etched hardmask using RIE. A high-temperature anneal is performed in the absence of oxygen. The inorganic substrate is etched through the etched organic planarizing layer using reactive ion etching.
    Type: Grant
    Filed: July 27, 2011
    Date of Patent: February 25, 2014
    Assignee: International Business Machines Corporation
    Inventors: Sebastian Ulrich Engelmann, Martin Glodde, Michael A. Guillorn
  • Patent number: 8657961
    Abstract: Embodiments of the invention generally provide methods for cleaning a UV processing chamber. In one embodiment, the method includes flowing an oxygen-containing gas through a plurality of passages formed in a UV transparent gas distribution showerhead and into a processing region located between the UV transparent gas distribution showerhead and a substrate support disposed within the thermal processing chamber, exposing the oxygen-containing gas to UV radiation under a pressure scheme comprising a low pressure stage and a high pressure stage to generate reactive oxygen radicals, and removing unwanted residues or deposition build-up from exposed surfaces of chamber components presented in the thermal processing chamber using the reactive oxygen radicals.
    Type: Grant
    Filed: April 4, 2013
    Date of Patent: February 25, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Bo Xie, Alexandros T. Demos, Scott A. Hendrickson, Sanjeev Baluja, Juan Carlos Rocha-Alvarez
  • Patent number: 8652754
    Abstract: A resist composition having a resin having a structural unit represented by the formula (I), a resin being insoluble or poorly soluble in alkali aqueous solution, but becoming soluble in an alkali aqueous solution by the action of an acid and not including the structural unit represented by the formula (I), and an acid generator represented by the formula (II), wherein R1, A1, R2, Q1 and Q2, L1, ring W, Rf1 and Rf2, n and Z+are defined in the specification.
    Type: Grant
    Filed: July 18, 2012
    Date of Patent: February 18, 2014
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Koji Ichikawa, Takashi Hiraoka, Mitsuyoshi Ochiai
  • Patent number: 8652762
    Abstract: An antireflective coating that contains at least two polymer components and comprises chromophore moieties and transparent moieties is provided. The antireflective coating is useful for providing a single-layer composite graded antireflective coating formed beneath a photoresist layer.
    Type: Grant
    Filed: March 19, 2012
    Date of Patent: February 18, 2014
    Assignee: International Business Machines Corporation
    Inventors: Dario L. Goldfarb, Libor Vyklicky, Sean D. Burns, David R. Medeiros, Daniel P. Sanders, Robert D. Allen
  • Patent number: 8652755
    Abstract: A positive photosensitive resin composition that forms an image for a liquid crystal display device and an organic EL display device, for example. The resin is made of a cured film that is highly water repellent and highly oleophobic on the surface. The resin has insulating properties, retains an excellent image and causes no reflow, for example, when being cured to form a film having excellent reworkability. A positive photosensitive resin composition comprising component (A), component (B), component (C) and component (D); Component (A) is an acrylic polymer containing an acid dissociable group, an aliphatic hydroxy group, and an N-substituted maleimide group; Component (B) is an acrylic polymer containing an acid dissociable group and a blocked isocyanate group; Component (C) is an acrylic polymer containing an acid dissociable group, an aliphatic hydroxy group, a C3-10 fluoroalkyl group, and a silyl ether group, and Component (D) is a photoacid generator.
    Type: Grant
    Filed: February 1, 2011
    Date of Patent: February 18, 2014
    Assignee: Nissan Chemical Industries, Ltd.
    Inventors: Tadashi Hatanaka, Megumi Uchiyama
  • Patent number: 8652753
    Abstract: A resist composition having; a resin having a structural unit represented by the formula (I), a resin being insoluble or poorly soluble in alkali aqueous solution, but becoming soluble in an alkali aqueous solution by the action of an acid and not including the structural unit represented by the formula (I), an acid generator, and a salt having an anion represented by the formula (IA). wherein R1, A1, A13, X12, A14, R1A and R2A are defined in the specification.
    Type: Grant
    Filed: July 18, 2012
    Date of Patent: February 18, 2014
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Koji Ichikawa, Tatsuro Masuyama, Satoshi Yamaguchi
  • Patent number: 8652758
    Abstract: Embodiments of the present invention involve printing members that utilize a particle-fusion imaging mechanism but avoid susceptibility to handling damage. In particular, printing plates in accordance with the invention may utilize two phases, and these may originate, during manufacture, as two particle systems. Both systems are initially dispersed in a single coating applied as a layer, or in multiple coatings applied as adjacent layers, on a substrate. The second particle system exhibits a glass-transition or thermal coalescing temperature well above room temperature and also above the temperature at which the coating is dried. The coalescing temperature of the first particle system is below the drying temperature. As a result, when the coating is dried, the first particle system coalesces and forms a binder that entrains the second particle system, which has not coalesced.
    Type: Grant
    Filed: September 16, 2009
    Date of Patent: February 18, 2014
    Assignee: Presstek, Inc.
    Inventors: Frederick R. Kearney, Kevin Ray, Donald Sundberg, John Tsavalas
  • Patent number: 8647812
    Abstract: A pattern forming method comprising (i) a step of forming a film from a chemical amplification resist composition, (ii) a step of exposing the film, and (iii) a step of developing the exposed film by using an organic solvent-containing developer, wherein the resist composition contains (A) a resin capable of increasing the polarity to decrease the solubility for an organic solvent-containing developer by the action of an acid, (B) at least one kind of a compound capable of generating a sulfonic acid represented by the specific formula upon irradiation with an actinic ray or radiation, and (C) a solvent.
    Type: Grant
    Filed: March 18, 2011
    Date of Patent: February 11, 2014
    Assignee: FUJIFILM Corporation
    Inventors: Kana Fujii, Shinji Tarutani
  • Patent number: 8647797
    Abstract: The present application describes a method and a device for keeping the mask dimensions of a mask (6) constant in the mask plane in lithography. The mask (6) is heated due to the exposure during lithography. By means of thermal and/or mechanical methods, the dimensions of the mask (6) are kept constant. It is possible to use additional methods or devices, e.g. an air cooler (17) or an air heater (17), in order to prevent a change in the mask dimensions in the mask plane.
    Type: Grant
    Filed: August 6, 2010
    Date of Patent: February 11, 2014
    Assignee: Suss Microtec Lithography GmbH
    Inventors: Takaaki Ishii, Tomas Hülsmann, Tobias Hickmann
  • Patent number: 8637222
    Abstract: A resist pattern forming method including in the following order, (1) a step of forming a film by using a negative chemical-amplification resist composition capable of undergoing negative conversion by a crosslinking reaction, (2) a step of exposing the film, and (4) a step of developing the exposed film by using a developer containing an organic solvent; a developer and a negative chemical-amplification resist composition used therefor; and a resist pattern formed by the pattern forming method.
    Type: Grant
    Filed: January 29, 2010
    Date of Patent: January 28, 2014
    Assignee: FUJIFILM Corporation
    Inventors: Toru Tsuchihashi, Tadateru Yatsuo, Koji Shirakawa, Hideaki Tsubaki, Akira Asano
  • Patent number: 8632958
    Abstract: A method of controlling surface roughness of the relief surface of a flexographic printing element during thermal processing is provided. An imaged and exposed relief image printing element is thermally developed to remove the portions of at least one layer of photopolymer that are not crosslinked and cured by a) heating the at least one layer of photopolymer to soften uncured portions of the at least one layer of photopolymer; b) causing contact between the at least one layer of photopolymer and a blotting material; and c) separating the blotting material from the at least one layer of photopolymer. Thereafter, a smooth material is inserted between the surface of the at least one layer of photopolymer and the blotting material. In the alternative, a polymeric film is laminated onto the relief image printing element using heat and pressure.
    Type: Grant
    Filed: July 14, 2011
    Date of Patent: January 21, 2014
    Inventors: Kyle P. Baldwin, Ryan W. Vest
  • Patent number: 8632948
    Abstract: The invention relates to a photoimageable antireflective coating composition capable of forming a pattern by development in an aqueous alkaline solution, comprising, (i) a polymer A soluble in a coating solvent and comprises a chromophore, a crosslinking moiety, and optionally a cleavable group which under acid or thermal conditions produces a functionality which aids in the solubility of the polymer in an aqueous alkaline solution and; (ii) at least one photoacid generator; (iii) a crosslinking agent; (iv) optionally, a thermal acid generator; (v) a polymer B which is soluble in an aqueous alkaline solution prior to development, where polymer B is non-miscible with polymer A and soluble in the coating solvent, and; (vi) a coating solvent composition, and (vii) optionally, a quencher. The invention also relates to a process for imaging the antireflective coating.
    Type: Grant
    Filed: September 30, 2009
    Date of Patent: January 21, 2014
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Munirathna Padmanaban, Srinivasan Chakrapani, Francis M. Houlihan, Shinji Miyazaki, Edward Ng, Mark O. Neisser
  • Patent number: 8628698
    Abstract: Disclosed is a resin composition for a protective layer of a color filter including an acrylate-based resin including a repeating unit represented by each of Chemical Formulae 1 to 3, a melamine-based resin represented by Chemical Formula 4, a thermal acid generator (TAG), and a solvent.
    Type: Grant
    Filed: July 23, 2010
    Date of Patent: January 14, 2014
    Assignee: Cheil Industries Inc.
    Inventors: Se-Young Choi, Jae-Hyun Kim, Nam-Gwang Kim, Eui-June Jeong, Sang-Kyun Kim, Kwen-Woo Han, Hyun-Hoo Sung
  • Patent number: 8628908
    Abstract: A chemically amplified resist composition is provided comprising (A) a specific tertiary amine compound, (B) a specific acid generator, (C) a base resin having an acidic functional group protected with an acid labile group, which is substantially insoluble in alkaline developer and turns soluble in alkaline developer upon deprotection of the acid labile group, and (D) an organic solvent. The resist composition has a high resolution, improved defect control in the immersion lithography, and good shelf stability.
    Type: Grant
    Filed: February 28, 2012
    Date of Patent: January 14, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Takeru Watanabe, Tomohiro Kobayashi, Masayoshi Sagehashi, Takeshi Nagata, Youichi Ohsawa, Ryosuke Taniguchi
  • Patent number: 8623590
    Abstract: A resist pattern is formed by coating a first positive resist composition comprising a polymer comprising 20-100 mol % of aromatic group-containing recurring units and adapted to turn alkali soluble under the action of an acid onto a substrate to form a first resist film, coating a second positive resist composition comprising a C3-C8 alkyl alcohol solvent which does not dissolve the first resist film on the first resist film to form a second resist film, exposing, baking, and developing the first and second resist films simultaneously with a developer.
    Type: Grant
    Filed: October 24, 2011
    Date of Patent: January 7, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Kenji Funatsu
  • Patent number: 8623589
    Abstract: The present invention relates to an antireflective coating composition comprising a crosslinking agent, a polymer comprising at least one chromophore group and at least one hydroxyl and/or a carboxyl group, and an additive, further where the additive has structure 1 and comprises at least one arylene-hydroxyl moiety, where Y is selected from an carboxylate anion or sulfonate anion, R1, R2, and R3 are independently selected from unsubstituted C1-C8 alkyl, substituted C1-C8 alkyl, aryl and arylene-hydroxyl; X1, X2, and X3 are independently selected from direct valence bond and C1-C8 alkylene group, and, n=1, 2 or 3. The invention further relates to a process for using the composition.
    Type: Grant
    Filed: June 6, 2011
    Date of Patent: January 7, 2014
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Takanori Kudo, Alberto Dioses, Edward Ng, Srinivasan Chakrapani, Munirathna Padmanaban
  • Patent number: 8617799
    Abstract: In general, in one aspect, the invention features a method that includes forming layer of a mask material on a surface of a first layer, patterning the layer of the mask material to obtain a mask feature, the mask feature having a surface comprising a depression, inducing mass transport of the mask material of the mask feature to obtain a modified mask feature, and transferring a profile of the modified mask feature into the first layer to form a first structure. In general, in another aspect, the invention features a method that includes forming layer of a mask material on a surface of a first layer, patterning the layer of the mask material to obtain a mask feature, inducing mass transport of the mask material of the mask feature to obtain a modified mask feature, and transferring a profile of the modified mask feature into the first layer to form a first structure.
    Type: Grant
    Filed: September 21, 2009
    Date of Patent: December 31, 2013
    Assignee: API Technologies Corp.
    Inventors: Robert Koefer, Sheng Liu, Thomas Tombler
  • Patent number: 8617784
    Abstract: Two acids may be formed per exposed photon using free radical promotion so that two acid products are produced via two parallel pathways. This results in increased fabrication facility throughput. In some embodiments, this may be achieved while reducing side-lobe defect liability.
    Type: Grant
    Filed: May 26, 2006
    Date of Patent: December 31, 2013
    Assignee: Intel Corporation
    Inventors: David Fryer, Vivek Singh, Nikolay Suetin, Alex A. Granovsky
  • Patent number: 8617794
    Abstract: A method of forming patterns includes (a) coating a substrate with a resist composition for negative development to form a resist film, wherein the resist composition contains a resin capable of increasing the polarity by the action of the acid and becomes more soluble in a positive developer and less soluble in a negative developer upon irradiation with an actinic ray or radiation, (b) forming a protective film on the resist film with a protective film composition after forming the resist film and before exposing the resist film, (c) exposing the resist film via an immersion medium, and (d) performing development with a negative developer.
    Type: Grant
    Filed: October 27, 2011
    Date of Patent: December 31, 2013
    Assignee: FUJIFILM Corporation
    Inventor: Hideaki Tsubaki
  • Patent number: 8614046
    Abstract: A salt represented by the formula (I-Pa): wherein Xpa represents a single bond or a C1-C4 alkylene group, Rpa represents a single bond, a C4-C36 divalent alicyclic hydrocarbon group or a C6-C36 divalent aromatic hydrocarbon group, and one or more methylene groups in the divalent alicyclic hydrocarbon group can be replaced by —O— or —CO—, Ypa represents a polymerizable group, and Zpa+ represents an organic cation.
    Type: Grant
    Filed: July 9, 2010
    Date of Patent: December 24, 2013
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Koji Ichikawa, Masako Sugihara, Yuko Yamashita
  • Patent number: 8614048
    Abstract: A resin having a structural unit derived from a compound represented by the following formula (I), wherein R1, A1 and ring X1 are as defined in the instant specification:
    Type: Grant
    Filed: September 20, 2011
    Date of Patent: December 24, 2013
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Koji Ichikawa, Masahiko Shimada, Takashi Nishimura
  • Patent number: 8614051
    Abstract: A photosensitive resin composition for an interlayer insulating film or a protective film of a substrate for circuit formation, which includes a polymer (a) having a structural unit shown by the formula (A) and a compound (b) which generates a radical when irradiated with active rays and has a structure shown by the following formula (B).
    Type: Grant
    Filed: June 28, 2012
    Date of Patent: December 24, 2013
    Assignee: Hitachi Chemical Dupont Microsystems, Ltd.
    Inventors: Tomonori Minegishi, Rika Nogita, Dai Kawasaki, Keiko Suzuki, Taku Konno
  • Patent number: 8609327
    Abstract: Methods are presented of forming sub-lithographic patterns using double exposure. One method may include providing a photoresist layer over a layer to be patterned; exposing the photoresist layer using a first mask having a first opening; developing the photoresist layer to transfer the first opening into the photoresist layer, forming a boundary in the photoresist layer about the transferred first opening that is hardened; exposing the photoresist layer using a second mask having a second opening that overlaps the boundary; and developing the photoresist layer to transfer the second opening into the photoresist layer, leaving the boundary, wherein the boundary has a sub-lithographic dimension.
    Type: Grant
    Filed: July 10, 2008
    Date of Patent: December 17, 2013
    Assignee: International Business Machines Corporation
    Inventors: Kuang-Jung Chen, Wu-Song Huang, Wai-Kin Li
  • Patent number: 8609317
    Abstract: A salt represented by the formula (I—Pb): wherein Xpb represents a single bond or —O—, Rpb represents a single bond etc., Ypb represents a polymerizable group, Zpb represents an organic group, Xpc represents a single bond or a C1-C4 alkylene group, and Rpc represents a C1-C10 aliphatic hydrocarbon group which can have one or more substituents etc.
    Type: Grant
    Filed: July 9, 2010
    Date of Patent: December 17, 2013
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Koji Ichikawa, Masako Sugihara, Yuko Yamashita
  • Patent number: 8597869
    Abstract: A sulfonium salt of a naphthyltetrahydrothiophenium cation having a fluoroalkoxy chain with a specific anion is provided. The sulfonium salt is used as a photoacid generator to form a resist composition which when processed by immersion lithography, offers advantages of restrained dissolution in the immersion water and less pattern dependence or dark/bright bias.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: December 3, 2013
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Masayoshi Sagehashi, Youichi Ohsawa, Koji Hasegawa, Takeshi Kinsho, Tomohiro Kobayashi
  • Patent number: 8592132
    Abstract: A resist composition includes (A1) a resin having a structural unit represented by the formula (I), (A2) a resin being insoluble or poorly soluble in alkali aqueous solution, but becoming soluble in an alkali aqueous solution by the action of an acid and (B) an acid generator having an acid-labile group. wherein R1 represents a hydrogen atom or a methyl group; A1 represents a C1 to C6 alkanediyl group; R2 represents a C1 to C10 hydrocarbon group having a fluorine atom.
    Type: Grant
    Filed: February 24, 2012
    Date of Patent: November 26, 2013
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Koji Ichikawa, Satoshi Yamaguchi, Yuki Suzuki
  • Patent number: 8585830
    Abstract: A method of processing a substrate in a substrate processing apparatus that is arranged adjacent to an exposure device and includes first, second and third processing units, includes the steps of: forming a photosensitive film made of a photosensitive material on the substrate by said first processing unit before exposure processing by said exposure device. The method also includes applying washing processing to the substrate by said second processing unit after the formation of said photosensitive film by said first processing unit and before the exposure processing by said exposure device and transporting the substrate after the washing processing to said exposure device. The method further includes transporting the substrate from said exposure device and applying development processing by said third processing unit to the substrate transported after the exposure processing by said exposure device.
    Type: Grant
    Filed: February 2, 2010
    Date of Patent: November 19, 2013
    Assignee: Sokudo Co., Ltd.
    Inventors: Shuichi Yasuda, Masashi Kanaoka, Koji Kaneyama, Tadashi Miyagi, Kazuhito Shigemori, Toru Asano, Yukio Toriyama, Takashi Taguchi, Tsuyoshi Mitsuhashi, Tsuyoshi Okumura
  • Patent number: 8586269
    Abstract: In one disclosed embodiment, a method for forming a high resolution resist pattern on a semiconductor wafer involves forming a layer of resist comprising, for example a polymer matrix and a catalytic species, over a material layer formed over a semiconductor wafer; exposing the layer of resist to patterned radiation; and applying a magnetic field to the semiconductor wafer during a post exposure bake process. In one embodiment, the patterned radiation is provided by an extreme ultraviolet (EUV) light source. In other embodiments, the source of patterned radiation can be an electron beam, or ion beam, for example. In one embodiment, the polymer matrix is an organic polymer matrix such as, for example, styrene, acrylate, or methacrylate. In one embodiment, the catalytic species can be, for example, an acid, a base, or an oxidizing agent.
    Type: Grant
    Filed: March 22, 2007
    Date of Patent: November 19, 2013
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Uzodinma Okoroanyanwu, Harry J. Levinson, Ryoung-Han Kim, Thomas Wallow
  • Patent number: 8586282
    Abstract: The present invention relates to: a resist composition such as a chemically amplified resist composition for providing an excellent pattern profile even at a substrate-side boundary face of resist, in addition to a higher resolution in photolithography for micro-fabrication, and particularly in photolithography adopting, as an exposure source, KrF laser, ArF laser, F2 laser, ultra-short ultraviolet light, electron beam, X-rays, or the like; and a patterning process utilizing the resist composition. The present invention provides a chemically amplified resist composition comprising one or more kinds of amine compounds or amine oxide compounds (except for those having a nitrogen atom of amine or amine oxide included in a ring structure of an aromatic ring) at least having a carboxyl group and having no hydrogen atoms covalently bonded to a nitrogen atom as a basic center.
    Type: Grant
    Filed: March 22, 2012
    Date of Patent: November 19, 2013
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Satoshi Watanabe, Akinobu Tanaka, Takeru Watanabe, Takeshi Kinsho
  • Patent number: 8586290
    Abstract: A lithography method includes forming a photosensitive layer on a substrate, exposing the photosensitive layer, baking the photosensitive layer, and developing the exposed photosensitive layer. The photosensitive layer includes a polymer that turns soluble to a base solution in response to reaction with acid, a plurality of photo-acid generators (PAGs) that decompose to form acid in response to radiation energy, and a plurality of quenchers having boiling points distributed between about 200 C and about 350 C. The quenchers also have molecular weights distributed between 300 Dalton and about 20000 Dalton, and are vertically distributed in the photosensitive layer such that a first concentration C1 at a top portion of the photosensitive layer is greater than a second concentration C2 at a bottom portion of the photosensitive layer.
    Type: Grant
    Filed: November 19, 2009
    Date of Patent: November 19, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chien-Wei Wang, Ching-Yu Chang
  • Patent number: 8580486
    Abstract: There is provided an acid having a fluorine-containing carbanion structure or a salt having a fluorine-containing carbanion structure, which is represented by the following general formula (1). By using a photoacid generator for chemically amplified resist materials that generates this acid, it is possible to provide a photoacid generator which has a high sensitivity to the ArF excimer laser light or the like, of which acid (photo generated acid) to be generated has a sufficiently high acidity, and which has a high dissolution in resist solvent and a superior compatibility with resin, and a resist material containing such a photoacid generator.
    Type: Grant
    Filed: March 10, 2009
    Date of Patent: November 12, 2013
    Assignee: Central Glass Company, Limited
    Inventors: Masashi Nagamori, Satoru Narizuka, Susumu Inoue, Takashi Kume
  • Patent number: 8574810
    Abstract: A method and system for patterning a substrate using a lithographic process, such as a dual tone development process, is described. The method comprises use of at least one photo-activated acid enhancement component to improve process latitude for the dual tone development process.
    Type: Grant
    Filed: December 11, 2009
    Date of Patent: November 5, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Carlos A. Fonseca, Mark Somervell, Steven Scheer, Wallace P. Printz
  • Patent number: 8574811
    Abstract: A resist composition contains; a resin having a structural unit derived from a compound represented by the formula (a); and an acid generator. wherein R1 represents a hydrogen atom or a methyl group; R2 represents an optionally substituted C1 to C18 aliphatic hydrocarbon group; A1 represents an optionally substituted C1 to C6 alkanediyl group or a group represented by the formula (a-g1); wherein s represents 0 or 1; A10 and A12 independently represent an optionally substituted C1 to C5 aliphatic hydrocarbon group; A11 represents a single bond or an optionally substituted C1 to C5 aliphatic hydrocarbon group; X10 and X11 independently represents an oxygen atom, a carbonyl group, a carbonyloxy group or an oxycarbonyl group; provided that a total number of the carbon atom of A10, A11, A12, X10 and X11 is 6 or less.
    Type: Grant
    Filed: August 30, 2011
    Date of Patent: November 5, 2013
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Tatsuro Masuyama, Satoshi Yamamoto, Koji Ichikawa
  • Patent number: 8574812
    Abstract: A resist composition of the invention includes: (A1) a resin having a structural unit represented by the formula (I), (A2) a resin being insoluble or poorly soluble in alkali aqueous solution, but becoming soluble in an alkali aqueous solution by the action of an acid and (B) an acid generator represented by the formula (II), wherein R1, A1, R2, Q1, Q2, L1, ring W1, and Z+ are defined in the specification.
    Type: Grant
    Filed: February 24, 2012
    Date of Patent: November 5, 2013
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Koji Ichikawa, Satoshi Yamaguchi
  • Patent number: 8574823
    Abstract: Various methods and apparatus relating to a multi-level layer are disclosed.
    Type: Grant
    Filed: October 5, 2005
    Date of Patent: November 5, 2013
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Bradley D. Chung, Alan T. Davis, Colin C. Davis, Mohammed S. Shaarawi, Jeremy H. Donaldson, Joe E. Stout