Involving Motion During Exposure, E.g., Dodging, Etc. Patents (Class 430/397)
  • Patent number: 6670109
    Abstract: The invention includes a photolithographic method in which overlapping first and second exposure patterns are formed on a photosensitive material from light passed through a single reticle. The first exposure pattern of the radiation comprises features separated by about a minimum feature spacing that can be accomplished with a single reticle exposure at the time of the photolithographic processing, and the overlapping first and second patterns comprise features separated by less than the minimum feature spacing. The invention also includes a photolithographic method of forming overlapping exposure patterns on a photosensitive material from light passed through a single reticle wherein the reticle is moved between a first exposure to a first light and a second exposure to a second light.
    Type: Grant
    Filed: August 29, 2001
    Date of Patent: December 30, 2003
    Assignee: Micron Technology, Inc.
    Inventors: John F. Van Itallie, Erik Byers
  • Patent number: 6657756
    Abstract: A method is disclosed for reconstructing an image from a total internal reflection hologram that includes the steps of arranging the hologram in relation to the first face of a coupling body, generating a substantially collimated illumination beam, directing the beam through a second face of the coupling body so that it reconstructs the image recorded in the hologram, recycling at least once the light in the illumination beam that is reflected from the hologram by redirecting it through the second face of the coupling body so that it also reconstructs the image recorded in the hologram, and scanning the illumination and recycled beams across the hologram, for the purpose of increasing the effective reconstruction efficiency of the total internal reflection hologram.
    Type: Grant
    Filed: February 6, 2002
    Date of Patent: December 2, 2003
    Assignee: Holtronic Technologies Plc
    Inventor: Francis Stace Murray Clube
  • Patent number: 6656668
    Abstract: A process method of using excimer laser for forming micro spherical and non-spherical polymeric structure array includes a photomask which has a selected curved pattern formed thereon. The curved pattern has non-constant widths along a straight line direction. An excimer laser beam source is deployed to project through the photomask on a substrate coated with a polymeric material while the substrate is moving in a direction normal to the straight line direction for the polymeric material to receive laser beam projection with different time period. The polymeric material thus may be etched to different depth to form a three dimensional pattern desired. By projecting and etching the polymeric material two times at different directions or through different photomask patterns, a sphere like or non-sphere like surface of micro array structure may be obtained.
    Type: Grant
    Filed: August 23, 2001
    Date of Patent: December 2, 2003
    Assignee: Industrial Technology Research Institute
    Inventors: Hung-Yin Tsai, Cheng-Tang Pan, Min-Chieh Chou, Shih-Chou Chen, Yuh-Sheng Lin
  • Patent number: 6641981
    Abstract: In projecting a pattern formed on a mask onto a substrate by using a progressive focus exposure method and transferring/forming an image of the pattern on a substrate, a control unit changes the distribution of energy amounts supplied onto the substrate based on the relative positions of the imaging plane and the substrate surface, and the integrated energy amount supplied onto the substrate in accordance with the position of an irradiation area on the substrate surface which is irradiated with an energy beam through the projection optical system. With this operation, in accordance with information about the distribution of variations in resist layer thickness on the substrate, which is obtained in advance, at least one of the distribution of energy amounts and the integrated energy, which reduce the influences of this distribution, can be changed.
    Type: Grant
    Filed: November 20, 2000
    Date of Patent: November 4, 2003
    Assignee: Nikon Corporation
    Inventors: Kenichirou Kaneko, Toshinobu Morioka, Seiji Fujitsuka
  • Publication number: 20030138741
    Abstract: Methods of patterning resists and structures including the patterned resists are disclosed. A patterned, multi-transmissive mask is used during patterning of resists to control exposure at areas of the resist at which features having different detail are desired. Exposure is varied in more finely patterned and more grossly patterned areas of the resist. The patterned resists have a high degree of topographical uniformity.
    Type: Application
    Filed: January 16, 2002
    Publication date: July 24, 2003
    Applicant: XEROX CORPORATION
    Inventors: Cathie J. Burke, Diane Atkinson, Mildred Calistri-Yeh
  • Publication number: 20030138742
    Abstract: An exposure method which irradiates a slit-shaped illumination light IL on a reticle Ri and a substrate while moving them synchronously so as to sequentially transfer images of patterns formed on the reticle Ri to the substrate 4, wherein a density filter Fj having an attenuating part for gradually reducing the distribution of illuminance of the illumination light IL is moved in synchronization with the movement of the reticle Ri.
    Type: Application
    Filed: November 19, 2002
    Publication date: July 24, 2003
    Applicant: NIKON CORPORATION
    Inventors: Nobuyuki Irie, Nobutaka Magome
  • Patent number: 6586160
    Abstract: A resist layer (34) on a semiconductor wafer (20) is patterned by using a scanning exposure system (50) which provides light, containing pattern information which is intended to be transferred to the wafer. The lithographic system is a step and scan system in which a reticle (16) passes between a light source and a lens system(18). The wafer with the resist layer is passed through a focal plane of the patterned light at a tilt angle (&thgr;). The user selects a desirable range for the depth of the resist to be exposed at the focus of the patterned light. The tilt angle is calculated by taking the arc tangent of the desirable range divided by a width of a slit region (52) of the projected light. The depth of focus increases over standard step and scan techniques.
    Type: Grant
    Filed: March 26, 2001
    Date of Patent: July 1, 2003
    Assignee: Motorola, Inc.
    Inventors: Chung-Peng Ho, Bernard J. Roman, Chong-Cheng Fu
  • Patent number: 6582875
    Abstract: A method of making an OLED device comprises the steps of: providing a donor element having transferable organic material in transfer relationship with an OLED substrate; forming a substantially uniform linear laser light beam; providing a spatial light modulator responsive to the linear laser light beam and adapted to form multichannel linear laser light beams; individually modulating selected channels to form one or more laser light beam segments wherein each segment can include one or more laser light beam channels and further wherein the laser light beam segment(s) have substantially square intensity profiles in a first direction and a substantially Gaussian intensity profile in a second direction perpendicular to the first direction and are directed onto the donor element; and the donor element producing heat in response to the light from the modulated segments so as to heat transfer organic material onto selected areas of the substrate.
    Type: Grant
    Filed: January 23, 2002
    Date of Patent: June 24, 2003
    Assignee: Eastman Kodak Company
    Inventors: David B. Kay, Lee W. Tutt, Mark D. Bedzyk
  • Patent number: 6569606
    Abstract: The present invention is directed to a method of forming halo implants in a semiconductor device. In one illustrative embodiment, the method comprises forming a structure above a semiconducting substrate, forming a layer of photoresist above the structure and the substrate, and positioning the substrate in an exposure tool that has a light source and a focal plane. The method further comprises positioning the surface of the layer of photoresist in an exposure plane that is different from the focal plane of the exposure tool, exposing the photoresist to the light source of the exposure tool while the surface of the photoresist is in the exposure plane, and developing the layer of photoresist to define an opening in the layer of photoresist around the structure on the substrate.
    Type: Grant
    Filed: July 27, 2000
    Date of Patent: May 27, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: David Donggang Wu, William R. Roche, Massud Aminpur, Scott D. Luning, Karen L. E. Turnqest
  • Publication number: 20030096196
    Abstract: A process for curing of a resist in which the consumption of the device is not increased and a device for carrying out the process is achieved following manner. The workpiece stage is divided into several stages which are smaller than the size of the workpiece. The areas formed by division are each controlled to different constant temperatures. First, the part of the workpiece to which a resist has been applied and which has been developed is seated on a stage of the workpiece stage by a workpiece transport means. The resist-applied part of the workpiece is irradiated with UV radiation from a light irradiation part while it is being heated. Then, the workpiece is moved by the workpiece transport means such that the workpiece which was located on the above described stage is transported onto another stage and the other part of the workpiece is transported onto the stage named first. UV radiation is emitted from the light irradiation part.
    Type: Application
    Filed: November 21, 2002
    Publication date: May 22, 2003
    Applicant: USHIODENKI KABUSHIKI KAISHA
    Inventors: Yoshiki Mimura, Kazumoto Tochihara
  • Patent number: 6558878
    Abstract: Disclosed is a microlens manufacturing method which comprises the step of: positioning a X-ray mask for manufacturing the microlens on an substrate on which a sensitive film is formed, and arranging a rotation axis of the substrate and a central axis of the X-ray mask; applying X-rays to the X-ray mask to expose the sensitive film while fixing the X-ray mask and rotating the substrate; developing the sensitive film to form the microlens; performing an electroplating process on the plating base to form a metal layer; and separating the metal layer from the sensitive film structure and combining the metal layer with a mold frame for injection molding the microlens and manufacturing an injection mold.
    Type: Grant
    Filed: June 1, 2000
    Date of Patent: May 6, 2003
    Assignee: Korea Electronics Technology Institute
    Inventors: Hyo-Derk Park, Suk-Won Jung, Kwang-Bum Park, In-Hoe Kim, Hyun-Chan Moon, Kun-Nyun Kim, Soon-Sup Park, Sang-Mo Shin
  • Patent number: 6558882
    Abstract: An exposure apparatus for exposing an object of exposure to a pattern of a mask by reduction projection with a projection lens utilizing light from a light source, comprising a mask fixed to the optical axis, means for dynamically moving the pattern and means for moving the object of exposure, wherein the exposure is moved in synchronization with the movement of the pattern displayed by the mask.
    Type: Grant
    Filed: January 30, 2001
    Date of Patent: May 6, 2003
    Assignee: Canon Kabushiki Kaisha
    Inventor: Jun Koide
  • Patent number: 6558883
    Abstract: A method and apparatus (100) for patterning the surface of a semiconductor wafer (130). A stage (148) is coupled to a motor (150) that is adapted to move the stage (148) and a semiconductor wafer (130) in a horizontal direction at a first speed A. A mask (140) is disposed above the semiconductor wafer (130), the mask (140) being coupled to a motor (142) that is adapted to move the mask (140) in a horizontal direction at a second speed B. The ratio of the first and second speeds is different than the magnification factor, which may be other than 1:1 if a lens (120) is used. The mask (140) and the wafer (130) may be moved in the same horizontal direction simultaneously during the exposure process at different speeds B and A, respectively, to provide a magnification or demagnification of the mask (140) pattern onto the wafer (130) surface.
    Type: Grant
    Filed: March 8, 2001
    Date of Patent: May 6, 2003
    Assignee: Infineon Technologies AG
    Inventors: Oliver Genz, Jurgen Preuninger, Gerhard Kunkel
  • Publication number: 20030082487
    Abstract: The present invention provides a method, article of manufacture and system for fabricating an article using photo-activatable building material. The method includes the steps of applying a layer of the photo-activatable building material to a preselected surface, scanning the layer using a plurality of light-emitting centers to photo-activate the layer of photo-activatable building material in accordance with a predetermined photo-initiation process to obtain polymerization of the building material, wherein scanning is accomplished at a predetermined distance using a predetermined light intensity, and repeating the steps of applying the layer, with each layer being applied to an immediately previous layer, and scanning the layer with the plurality of light-emitting centers to polymerize the building material until the article is fabricated.
    Type: Application
    Filed: October 29, 2001
    Publication date: May 1, 2003
    Inventor: Robert Burgess
  • Patent number: 6545748
    Abstract: Disclosed herewithin is an apparatus for fabricating a stent which involves processing a tubular member whereby no connection points to join the edges of a flat pattern are necessary. The apparatus includes a means for mounting a tubular member coated with a photosensitive material, a light source, and a mask or film that exposes a portion of the photosensitive material.
    Type: Grant
    Filed: February 4, 1999
    Date of Patent: April 8, 2003
    Assignee: SciMed Life Systems, Inc.
    Inventor: Thomas Trozera
  • Patent number: 6537738
    Abstract: A digital photolithography system is provided that is capable of making smooth diagonal components. The system includes a computer for providing a first digital pattern to a digital pixel panel, such as a deformable mirror device (DMD). The DMD is capable of providing a first plurality of pixel elements for exposure onto a plurality of wafer sites. After exposure, the wafer can be scanned a distance less than the site length. The DMD then receives a second digital pattern for exposing a second plurality of pixel elements onto the plurality of sites of the subject. The exposed second plurality of pixel elements overlaps the exposed first plurality of pixel elements. This overlapping allows incremental changes to be made in the image being exposed, thereby accommodating the creation of diagonal components.
    Type: Grant
    Filed: August 8, 2000
    Date of Patent: March 25, 2003
    Assignee: Ball Semiconductor, Inc.
    Inventors: Wenhui Mei, Takashi Kanatake
  • Publication number: 20030044724
    Abstract: The invention includes a photolithographic method in which overlapping first and second exposure patterns are formed on a photosensitive material from light passed through a single reticle. The first exposure pattern of the radiation comprises features separated by about a minimum feature spacing that can be accomplished with a single reticle exposure at the time of the photolithographic processing, and the overlapping first and second patterns comprise features separated by less than the minimum feature spacing. The invention also includes a photolithographic method of forming overlapping exposure patterns on a photosensitive material from light passed through a single reticle wherein the reticle is moved between a first exposure to a first light and a second exposure to a second light.
    Type: Application
    Filed: August 29, 2001
    Publication date: March 6, 2003
    Inventors: John F. Van Itallie, Erik Byers
  • Publication number: 20030044693
    Abstract: A method and apparatus for exposing a radiation-sensitive material of a microlithographic substrate to a selected radiation. The method can include directing the radiation along a radiation path in a first direction toward a reticle, passing the radiation from the reticle and to the microlithographic substrate along the radiation path in a second direction, and moving the reticle relative to the radiation path along a reticle path generally normal to the first direction. The microlithographic substrate can move relative to the radiation path along a substrate path having a first component generally parallel to the second direction, and a second component generally perpendicular to the second direction. The microlithographic substrate can move generally parallel to and generally perpendicular to the second direction in a periodic manner while the reticle moves along the reticle path to change a relative position of a focal plane of the radiation.
    Type: Application
    Filed: August 30, 2001
    Publication date: March 6, 2003
    Inventors: Ulrich C. Boettiger, Scott L. Light, William T. Rericha, Craig A. Hickman
  • Patent number: 6528238
    Abstract: Groove patterns on substrates coated with photoresist are made using the technique of photolithography by exposing photoresist via a reticle. In the instant invention, the pattern is provided on the reticle with a period larger than the final period to be printed on the photoresist. The complete pattern is obtained by subjecting the photoresist to two or more exposures and aligning the substrate relative to the reticle between exposures. In a further embodiment the slits on the reticle defining the line width of the grooves are larger than required and photoresist is subjected to multiple partial exposure. Both embodiments significantly reduce diffraction caused by the reticle and improve the resolution of the technique.
    Type: Grant
    Filed: September 22, 2000
    Date of Patent: March 4, 2003
    Inventors: David Seniuk, Paul J. Paddon, David M. Adams
  • Publication number: 20030039928
    Abstract: A method for manufacturing a semiconductor wafer uses a reticle having a plurality of spaced apart circuit images of identical patterns or images of a common level of a single integrated circuit formed on the reticle and arranged in columns and rows about its central point. At least one column of spaced apart test images are formed outside of and adjacent an outermost column of circuit images. Radiation is projected through the reticle for exposing the patterns on the reticle onto an underlying wafer. A reticle holder having a pair of shutter elements aligned parallel to the columns of images selectively blocks the projection of radiation through the columns of the test images but are exposed in order to form test circuits on the wafer at selected locations.
    Type: Application
    Filed: August 15, 2002
    Publication date: February 27, 2003
    Inventors: Cheryl Anne Bollinger, Seungmoo Choi, William T. Cochran, Stephen Arlon Meisner, Daniel Mark Wroge, Gerard Zaneski
  • Publication number: 20030013047
    Abstract: A highly accurate structure is optically fabricated simply and in a short time. Rough optical fabrication using an ultraviolet-irradiation optical fabrication process is carried out for a photo-curing resin by emission of a laser beam from a first light source, and thereafter, fine optical fabrication using a two-photon absorption optical fabrication process is carried out by emission of a laser beam from a second light source. As a result, it is possible to realize optical fabrication which allows fabrication of a fine structure using a two-photon absorption optical fabrication process while realizing high speed processing using the ultraviolet-irradiation optical fabrication process.
    Type: Application
    Filed: July 12, 2002
    Publication date: January 16, 2003
    Applicant: FUJI PHOTO FILM CO., LTD.
    Inventor: Takeharu Tani
  • Publication number: 20020168577
    Abstract: A mask and its application in sequential lateral solidification (SLS) crystallization of amorphous silicon. The mask includes a light absorptive portion that blocks a laser beam and a plurality of tier-shaped light-transmitting portions that pass a laser beam. Each light-transmitting portion has a plurality of adjacent rectangular sub-portions. Adjacent rectangular sub-portions form a step. In operation, the mask moves transversely relative to a amorphous silicon film while a laser performs SLS crystallization. The light portions control grain growth such that high quality polycrystalline silicon is formed.
    Type: Application
    Filed: April 30, 2002
    Publication date: November 14, 2002
    Inventor: Jin-Mo Yoon
  • Publication number: 20020136992
    Abstract: A resist layer (34) on a semiconductor wafer (20) is patterned by using a scanning exposure system (50) which provides light, containing pattern information which is intended to be transferred to the wafer. The lithographic system is a step and scan system in which a reticle (16) passes between a light source and a lens system(18). The wafer with the resist layer is passed through a focal plane of the patterned light at a tilt angle (&thgr;). The user selects a desirable range for the depth of the resist to be exposed at the focus of the patterned light. The tilt angle is calculated by taking the arc tangent of the desirable range divided by a width of a slit region (52) of the projected light. The depth of focus increases over standard step and scan techniques.
    Type: Application
    Filed: March 26, 2001
    Publication date: September 26, 2002
    Inventors: Chung-Peng Ho, Bernard J. Roman, Chong-Cheng Fu
  • Publication number: 20020127501
    Abstract: A method and apparatus (100) for patterning the surface of a semiconductor wafer (130). A stage (148) is coupled to a motor (150) that is adapted to move the stage (148) and a semiconductor wafer (130) in a horizontal direction at a first speed A. A mask (140) is disposed above the semiconductor wafer (130), the mask (140) being coupled to a motor (142) that is adapted to move the mask (140) in a horizontal direction at a second speed B. The ratio of the first and second speeds is different than the magnification factor, which may be other than 1:1 if a lens (120) is used. The mask (140) and the wafer (130) may be moved in the same horizontal direction simultaneously during the exposure process at different speeds B and A, respectively, to provide a magnification or demagnification of the mask (140) pattern onto the wafer (130) surface.
    Type: Application
    Filed: March 8, 2001
    Publication date: September 12, 2002
    Inventors: Oliver Genz, Jurgen Preuninger, Gerhard Kunkel
  • Publication number: 20020123012
    Abstract: The present invention provides a method and system for simultaneously imaging at least two reticles onto a substrate. According to the present invention, the wafer is passed through the exposure sequence once with images from the reticles being exposed simultaneously onto the wafer. The throughput of the system is effectively maintained at the standard single pass throughput level or twice that of conventional systems. In one embodiment, the present invention produces two reticle images side-by-side in the exit pupil of the optics of a step and scan wafer exposure system. The scanning action of the exposure tool then effectively superimposes the two images during the exposure of the wafer. Each image exposes the photoresist as the wafer is scanned through the image field synchronously with the scanning of the reticles. According to one embodiment, the image scanning is synchronized so that two required images are superimposed.
    Type: Application
    Filed: February 27, 2002
    Publication date: September 5, 2002
    Applicant: ASML US, Inc.
    Inventor: Harry Sewell
  • Publication number: 20020110755
    Abstract: A process method of using excimer laser for forming micro spherical and non-spherical polymeric structure array includes a photomask which has a selected curved pattern formed thereon. The curved pattern has non-constant widths along a straight line direction. An excimer laser beam source is deployed to project through the photomask on a substrate coated with a polymeric material while the substrate is moving in a direction normal to the straight line direction for the polymeric material to receive laser beam projection with different time period. The polymeric material thus may be etched to different depth to form a three dimensional pattern desired. By projecting and etching the polymeric material two times at different directions or through different photomask patterns, a sphere like or non-sphere like surface of micro array structure may be obtained.
    Type: Application
    Filed: August 23, 2001
    Publication date: August 15, 2002
    Applicant: Industrial Technology Research Institute
    Inventors: Hung-Yin Tsai, Cheng-Tang Pan, Min-Chieh Chou, Shih-Chou Chen, Yuh-Sheng Lin
  • Publication number: 20020110766
    Abstract: A process method of using excimer laser for forming micro spherical and non-spherical polymeric structure array includes a photomask which has a selected curved pattern formed thereon. The curved pattern has non-constant widths along a straight line direction. An excimer laser beam source is deployed to project through the photomask on a substrate coated with a polymeric material while the substrate is moving in a direction normal to the straight line direction for the polymeric material to receive laser beam projection with different time period. The polymeric material thus may be etched to different depth to form a three dimensional pattern desired. By projecting and etching the polymeric material two times at different directions or through different photomask patterns, a sphere like or non-sphere like surface of micro array structure may be obtained.
    Type: Application
    Filed: February 9, 2001
    Publication date: August 15, 2002
    Applicant: Industrial Technology Research Institute
    Inventors: Hung-Yin Tsai, Cheng-Tang Pan, Min-Chieh Chou, Shih-Chou Chen
  • Patent number: 6395456
    Abstract: A semiconductor device achieving higher integration without deterioration of electrical characteristics thereof, a method of manufacturing the semiconductor device, and a method of forming a resist pattern used for that can be obtained. According to the method of forming a resist pattern used for the method of manufacturing a semiconductor device, light is directed via a mask onto a resist film surface formed on a substrate to project a first optical image having a width equal to or less than the wavelength of the light onto the resist surface. The mask is shifted relative to the substrate. Via the shifted mask, light is directed onto the resist film surface to project a second optical image having a width equal to or less than the wavelength of the light onto the resist surface such that the second optical image partially overlaps faith a region where the first optical image is projected.
    Type: Grant
    Filed: June 29, 1999
    Date of Patent: May 28, 2002
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Naohisa Tamada, Yoshiaki Yamada
  • Patent number: 6391502
    Abstract: The present invention involves a photolithographic process, and apparatus and material for use therein, for producing etched or eroded areas or holes in a selected pattern on or in the surface of fine workpieces, such as small diameter tubes. One aspect of the present invention is a photolithographic process for producing a selected pattern on a nonplanar surface of a workpiece using at least one mask to define the selected pattern. The process includes the acts of applying a photoresist material to the workpiece and aligning the mask with the nonplanar surface of the workpiece. The mask may have an inner surface that corresponds to the nonplanar surface. The process may also include the acts of exposing and developing the photoresist material.
    Type: Grant
    Filed: September 22, 1999
    Date of Patent: May 21, 2002
    Assignee: Pemstar, Inc.
    Inventors: Nathaniel C. Anderson, Robert D. Ahmann
  • Patent number: 6387597
    Abstract: A method for imaging a non-planar resist uses a non-integrating resist. The resist may be a thermoresist which changes from an unexposed state to an exposed state upon heating to a threshold temperature. The method involves using a variable focus optical system and making a plurality of exposures at different focus settings. The duration and intensity of the exposures is selected so that areas on the resist corresponding to in-focus features are heated to temperatures in excess of the threshold temperature and become exposed whereas areas on the resist corresponding to out-of-focus features are heated to temperatures which are insufficient to expose the resist.
    Type: Grant
    Filed: September 1, 2000
    Date of Patent: May 14, 2002
    Assignee: Creo Srl
    Inventor: Daniel Gelbart
  • Patent number: 6379867
    Abstract: A photolithography system and method for providing a mask image to a subject such as a wafer is provided. The mask images are divided into sub-patterns and sequentially provided to a pixel panel, such as a deformable mirror device or a liquid crystal display. The pixel panel converts each sub-pattern into a plurality of pixel elements. Each of the pixel elements is then simultaneously focused to discrete, non-contiguous portions of the subject through a microlense array. The subject and pixel elements are then moved (e.g., one or both may be moved) and the next sub-pattern in the sequence is provided to the pixel panel. As a result, light can be projected on the subject, according to the pixel elements, to create a contiguous image on the subject.
    Type: Grant
    Filed: January 10, 2000
    Date of Patent: April 30, 2002
    Assignee: Ball Semiconductor, Inc.
    Inventors: Wenhui Mei, Takashi Kanatake, Akira Ishikawa
  • Patent number: 6348303
    Abstract: A lithographic apparatus of the scanning type in which reticle masking blades 7 are opened at the beginning of a scan. Means are provided to compensate for an increase in the intensity density of the illumination beam as the reticle masking blades are opened. These means may comprise lamp control means 10 for controlling a compensating decrease in the lamp intensity. The reticle masking blades 70 may be manufactured of, e.g., quartz and provided with a reflective rear coating, e.g. of aluminum, so that portions of the illumination beam intercepted by the reticle masking blades are totally internally reflected.
    Type: Grant
    Filed: April 12, 1999
    Date of Patent: February 19, 2002
    Assignee: ASM Lithography B.V.
    Inventors: Sijbe A. H. Van Der Lei, Rard Willem De Leeuw, Gerrit Maarten Bonnema, Wilhelmus Maria Corbeij
  • Publication number: 20010055733
    Abstract: An exposure method which irradiates a slit-shaped illumination light IL on a reticle Ri and a substrate while moving them synchronously so as to sequentially transfer images of patterns formed on the reticle Ri to the substrate 4, wherein a density filter Fj having an attenuating part for gradually reducing the distribution of illuminance of the illumination light IL is moved in synchronization with the movement of the reticle Ri.
    Type: Application
    Filed: April 9, 2001
    Publication date: December 27, 2001
    Applicant: Nikon Corporation
    Inventors: Nobuyuki Irie, Nobutaka Magome
  • Patent number: 6319641
    Abstract: A mask pattern is transferred onto a wafer by exposure with a mask stage and a wafer stage being moved synchronously. In global alignment of the mask and the wafer, the scanning direction in the present (second layer) scanning exposure is made coincident with the scanning direction in the preceding (first layer) scanning exposure. When alignment is made by calculating shot array coordinates in advance, shot array coordinates are calculated for each scanning direction.
    Type: Grant
    Filed: December 7, 2000
    Date of Patent: November 20, 2001
    Assignee: Nikon Corporation
    Inventor: Nobutaka Magome
  • Publication number: 20010028993
    Abstract: A maskless exposure system for selectively exposing a photosensitive work surface, such as a photoresist layer, includes a semiconductor substrate having an elongated aperture. A series of shutters and associated guides are formed upon the substrate using conventional wafer processing methods. The shutters move between a first position covering the aperture and a second position exposing the aperture. A corresponding series of computer-controlled actuators, in the form of electromagnetic coils, cooperate with the shutters for selectively sliding each shutter between its first and second positions. A light beam is directed toward the aperture, and the shutters create a patterned light beam exiting the aperture. A computer-controlled stepper is synchronized with the shutter actuators and adjusts the relationship between the patterned light beam and the photosensitive work surface to direct the patterned light beam at different portions of the work material.
    Type: Application
    Filed: May 25, 2001
    Publication date: October 11, 2001
    Inventor: James E. Sanford
  • Publication number: 20010023052
    Abstract: An exposure apparatus for exposing an object of exposure to a pattern of a mask by reduction projection with a projection lens utilizing light from a light source, comprising a mask fixed to the optical axis, means for dynamically moving the pattern and means for moving the object of exposure, wherein the exposure is moved in synchronization with the movement of the pattern displayed by the mask.
    Type: Application
    Filed: January 30, 2001
    Publication date: September 20, 2001
    Inventor: Jun Koide
  • Patent number: 6291145
    Abstract: Image-formation methods and photosensitive materials used in such methods are disclosed that form very high-resolution patterns. The photosensitive materials comprise an ingredient that is triggered by a radical to undergo a latent-image-forming reaction. The radicals are produced by photons from an illumination light. Alternatively, the ingredient is triggered by a reactive-intermediate compound that is activated by the exposure-produced radical. The photosensitive materials possess a non-linear sensitivity characteristic in which the latent-image reaction density increases according to the mth power (m>1) of the incident light intensity. The photosensitive material can be applied to a sensitive substrate for exposure. Multiple exposures of the sensitive substrate are performed using a projected mask pattern in the presence of a radical deactivator.
    Type: Grant
    Filed: March 9, 1998
    Date of Patent: September 18, 2001
    Assignee: Nikon Corporation
    Inventors: Tadayosi Kokubo, Kazuya Okamoto, Hiroshi Ooki, Masato Shibuya, Soichi Owa
  • Patent number: 6284437
    Abstract: A device for recording a refractive index pattern in a photosensitive optical fiber includes a rotary disc formed with a phase mask in a circular pattern, which is rotated by an axis. The phase mask is illuminated with laser light in a region so that a moving interference pattern is formed. The optical fiber is moved along a path in synchronism with the moving interference pattern so that the pattern becomes recorded in the fiber. The pattern can be formed continuously over long fiber lengths, e.g., of the order of one meter.
    Type: Grant
    Filed: April 1, 1998
    Date of Patent: September 4, 2001
    Assignee: British Telecommunications public limited company
    Inventor: Raman Kashyap
  • Patent number: 6258516
    Abstract: A collimator, in combination with a source of curing radiation, for use in a process for curing a photosensitive resin disposed on a working surface and having a machine direction and a cross-machine direction perpendicular to said machine direction, is disclosed. The preferred collimator comprises a plurality of mutually parallel collimating elements spaced from one another in the machine direction between the source of radiation and the resin. Each of the collimating elements is substantially perpendicular to the working surface, and every two of the mutually adjacent collimating elements have a machine-directional clearance and a cross-machine-directional clearance therebetween. The collimating elements and the machine direction form an acute angle therebetween such that the machine-directional clearance is greater than the cross-machine directional clearance. This allows to provide a greater collimation of the curing radiation in the cross-machine direction relative to the machine direction.
    Type: Grant
    Filed: November 10, 2000
    Date of Patent: July 10, 2001
    Assignee: The Procter & Gamble Company
    Inventors: Paul Dennis Trokhan, Glenn David Boutilier, Timothy Jude Lorenz, Henry Louis Marlatt
  • Patent number: 6251566
    Abstract: A cylindrical lenticular image is made possible by the interlacing of multiple views of an object. The interlaced views are then printed on a substrate and the substrate formed into a cylinder. A lenticular lens is then properly aligned with the substrate. The result is a three dimensional image that can be rotated to reveal a full three-hundred and sixty degree perspective of the imaged object.
    Type: Grant
    Filed: October 14, 1997
    Date of Patent: June 26, 2001
    Inventors: Scott Brosh, Phil Gottfried
  • Patent number: 6248511
    Abstract: Color reversal photographic films are processed using a reversal solution comprising stannous ion and a quaternary ammonium compound as the sole microbial control agent, to reduce formation of visible biogrowth. The quaternary ammonium compound is present at very low concentrations of 1 to 20 ppm, and is preferably myristyltrimethyl ammonium bromide because it avoids precipitates with components that may leach out of some processed films.
    Type: Grant
    Filed: May 10, 2000
    Date of Patent: June 19, 2001
    Assignee: Eastman Kodak Company
    Inventors: John S. Badger, Mary E. Craver
  • Patent number: 6218077
    Abstract: A method of manufacturing an integrated circuit using an imaging system having a mask and an energy source that produces an exposure field. A substrate is moved across the exposure field while changing the depth of focus of the imaging system relative to the substrate. The depth of focus may be changed by moving the substrate, the mask, or both, relative to each other changes the depth of focus. The depth of focus may be oscillated according to a periodic waveform where the waveform is equal to the time for a typical point on the substrate to pass through the exposure field.
    Type: Grant
    Filed: October 26, 1998
    Date of Patent: April 17, 2001
    Assignee: Agere Systems Guardian Corp.
    Inventor: Feng Jin
  • Patent number: 6180325
    Abstract: A method for exposing photo-sensitive printing plates comprises applying a patterned coating to the printing plate to form a mask. The coating may be sprayed onto the printing plate and patterned by laser ablation. The method avoids the need to stock printing plates with integral masking layers. In preferred implementations the printing plate is exposed to actinic radiation without dismounting it from the apparatus in which the coating is applied. This minimizes the likelihood that the coating could be damaged in handling. The coating may be a thin sprayed on layer of carbon in a suitable binder.
    Type: Grant
    Filed: June 23, 1999
    Date of Patent: January 30, 2001
    Assignee: Creo SRL
    Inventor: Daniel Gelbart
  • Patent number: 6136509
    Abstract: Low contrast optics, including low contrast linear light valves, can be used to create high resolution patterns by using thermoresist instead of photoresist and by using multiple exposures of the same area, preferably exposing different features of the pattern in each exposure. When using thermoresist, the stray light from imaging the individual features does not add up, as the stray heat it creates will dissipate between exposures. The method is particularly useful for imaging thermoresists using UV light for manufacturing of integrated circuits.
    Type: Grant
    Filed: June 5, 1998
    Date of Patent: October 24, 2000
    Assignee: Creo Srl
    Inventor: Daniel Gelbart
  • Patent number: 6107004
    Abstract: Manufacturing processes for apparatus, including slotted hypotube, for use as a catheter, a guidewire, a catheter sheath for use with catheter introducers or a drug infusion catheter/guidewire are disclosed. The manufacturing process includes creating a pattern of slots or apertures in a flexible metallic tubular member, by processes including but not limited to, electrostatic discharge machining (EDM), chemical milling, ablation and laser cutting. These slots or apertures may be cut completely or partially through the wall of the flexible metallic tubular member. These manufacturing processes may include the additional step of encasing the flexible metallic member such that a fluid tight seal is formed around the periphery of the tubular member.
    Type: Grant
    Filed: March 27, 1998
    Date of Patent: August 22, 2000
    Assignee: Intra Therapeutics, Inc.
    Inventor: James V. Donadio, III
  • Patent number: 6107011
    Abstract: A method of high resolution imaging for integrated circuits employs two masks and a laser source to impart an image onto a thermoresist coated image receiving surface. A primary mask carries a principal image to be recorded and a secondary mask contains an array of lenslets. The lenslet array concentrates light from a pulsed laser source onto a plurality of exposure points on the primary mask and the image features contained within that plurality of exposure points are imagewise reproduced in a corresponding plurality of exposure points on the image receiving surface. The lenslets in the lenslet array are dimensioned and positioned such that the plurality of exposure points formed on the image receiving surface have sufficient separation to avoid interaction. Relative motion ("scanning") between the primary and secondary mask creates raster lines on the primary mask to be exposed onto corresponding raster lines on the image receiving surface.
    Type: Grant
    Filed: August 31, 1999
    Date of Patent: August 22, 2000
    Assignee: Creo SRL
    Inventor: Daniel Gelbart
  • Patent number: 6096461
    Abstract: A method and apparatus for high resolution imaging of integrated circuits and flat panel displays uses a pulsed laser source, a thermoresist coated image receiving surface and a pair of masks. A primary mask carries the principal image and a secondary mask containing an array of lenslets which concentrate light from the source onto a subset of the features of the primary mask. The secondary mask lenslets are dimensioned so as to illuminate a subset of the features with a known pitch and form an image corresponding to the subset on the thermoresist. After creating a subset image, the secondary mask is moved so as to expose another subset of the features and form another subset image. In this manner, the entire principal image is reconstructed on the thermoresist from subset images. The secondary mask is moved on two axes by a plurality of piezo-electric actuators.
    Type: Grant
    Filed: January 6, 1999
    Date of Patent: August 1, 2000
    Assignee: Creo SRL
    Inventor: Daniel Gelbart
  • Patent number: 6033833
    Abstract: A new fogging photographic processing solution for a reversal process comprises a compound (I) and a bi-nucleophilic agent. Compound (I) corresponds to the following formula: ##STR1## wherein A is a group capable of being adsorbed to the silver halide surface, L is a linking group and r is 0 or 1, R.sub.1 and R.sub.2 are independently selected from an alkyl group, substituted or unsubstituted, and an aryl group substituted or unsubstituted. A process of producing a positive image by imagewise exposure of a reversal silver halide material comprises contacting the material with the above fogging solution or by imagewise exposure of such a material containing a compound (I) and contacting the material with a solution comprising a bi-nucleophilic agent.
    Type: Grant
    Filed: April 29, 1999
    Date of Patent: March 7, 2000
    Assignee: Eastman Kodak Company
    Inventors: Siu C. Tsoi, Peter J. Twist, David T. Southby
  • Patent number: 6027863
    Abstract: Manufacturing processes for apparatus, including slotted hypotube, for use as a catheter, a guidewire, a catheter sheath for use with catheter introducers or a drug infusion catheter/guidewire are disclosed. The manufacturing process includes creating a pattern of slots or apertures in a flexible metallic tubular member, by processes including but not limited to, electrostatic discharge machining (EDM), chemical milling, ablation and laser cutting. These slots or apertures may be cut completely or partially through the wall of the flexible metallic tubular member. These manufacturing processes may include the additional step of encasing the flexible metallic member such that a fluid tight seal is formed around the periphery of the tubular member.
    Type: Grant
    Filed: May 14, 1996
    Date of Patent: February 22, 2000
    Assignee: Intratherapeutics, Inc.
    Inventor: James V. Donadio, III
  • Patent number: 5994050
    Abstract: The invention relates to a method of improved burning and dodging comprising providing a color photographic element that prior to development has CIELAB coordinates such that L* is greater than 71, exposing said paper, wherein during exposure burning and dodging is carried out.
    Type: Grant
    Filed: October 3, 1997
    Date of Patent: November 30, 1999
    Assignee: Eastman Kodak Company
    Inventors: Roupen H. Maronian, Donald R. Diehl, Pamela M. Ferguson, Joseph E. LaBarca