Matting Or Other Surface Reflectivity Altering Material Patents (Class 430/950)
  • Publication number: 20030235764
    Abstract: A reticle has a transparent substrate, mask shapes on the substrate, a transparent material covering the mask shapes and an optional anti-reflective material over the transparent material.
    Type: Application
    Filed: June 25, 2002
    Publication date: December 25, 2003
    Applicant: International Business Machines Corporation
    Inventors: Daniel A. Corliss, Christopher J. Progler, Nakgeuon Seong
  • Publication number: 20030232283
    Abstract: A method for forming photoresist layers on a substrate. First, a first photoresist material is coated on the substrate. Next, a second photoresist material is coated on the first photoresist material before the first photoresist material is baked. Thereafter, the substrate is baked at 100˜140° C. for 50˜80 seconds to simultaneously form a first photoresist layer and a second photoresist layer thereon. The method further includes a step of cooling the first and the second photoresist layers to room temperature after the baking is performed.
    Type: Application
    Filed: June 18, 2002
    Publication date: December 18, 2003
    Inventors: Chen-Cheng Yung, Ming-Chuan Wang, Chang-Hao Yang
  • Publication number: 20030224254
    Abstract: A method for manufacturing a photomask is provided. A transparent substrate is provided and a mask layer is formed thereon. A resist layer is formed on the mask layer and then patterned and defined to define a critical dimension of the photomask. A third layer is deposited over the patterned and defined resist layer to decrease the critical dimension of the photomask. And the third layer and the mask layer are etched afterwards.
    Type: Application
    Filed: June 20, 2003
    Publication date: December 4, 2003
    Applicant: Macronix International Co., Ltd.
    Inventors: Henry Wei-Ming Chung, Shin-Yi Tsai, Ming-Chung Liang
  • Publication number: 20030219683
    Abstract: A process is described for trimming photoresist patterns during the fabrication of integrated circuits for semiconductor devices and MEMS devices. A combination of a low temperature (<20° C.), high density oxygen and argon plasma and intense UV radiation is used to simultaneously trim and harden a photoresist linewidth in an ICP chamber. As an alternative, a UV hardening step can be performed in a flood exposure tool prior to the ICP plasma etch. Another option is to perform the argon plasma treatment first to harden the resist and then in a second step apply an oxygen plasma to trim the photoresist. Vertical and horizontal etch rates are decreased in a controllable manner which is useful for producing gate lengths in MOS transistors of less than 100 nm. The process can also be used to controllably increase a space width in a photoresist feature.
    Type: Application
    Filed: May 23, 2002
    Publication date: November 27, 2003
    Applicant: Institute of Microelectronics.
    Inventors: Ranganathan Nagarajan, Shajan Mathew, Lakshmi Kanta Bera
  • Publication number: 20030215736
    Abstract: The present invention relates to novel negative-working, photoimageable, and aqueous developable antireflective coating compositions and their use in image processing by forming a thin layer of the novel antireflective coating composition between a reflective substrate and a photoresist coating. The negative bottom photoimageable antireflective coating composition is capable of being developed in an alkaline developer and is coated below a negative photoresist.
    Type: Application
    Filed: December 18, 2002
    Publication date: November 20, 2003
    Inventors: Joseph E. Oberlander, Ralph R. Dammel, Shuji Ding-Lee, Mark O. Neisser, Medhat A. Toukhy
  • Publication number: 20030215753
    Abstract: A fabrication method of three-dimensional microstructures is to fabricate a real 3D microstructure. First, a substrate is coated with an anti-reflection layer to absorb reflected exposure light, and then the anti-reflection layer is overlaid with a first thick photoresist. After having been fully exposed by a first photo mask, a predetermined exposure depth of the first thick photoresist is achieved by a second photo mask and dosage-controlled UV exposure. If the unexposed areas of the first thick photoresist are released during a development step, a single-layer microstructure is created. Inversely, a multi-layered microstructure can be obtained simply by repeating the process described above. After all layers are laminated on the substrate, all unexposed areas of the all thick photoresist layers are released and connected to each other during a development step.
    Type: Application
    Filed: May 14, 2003
    Publication date: November 20, 2003
    Inventors: Fan-Gang Tseng, Yun-Ju Chuang
  • Publication number: 20030207207
    Abstract: A method of fabricating a semiconductor multilevel interconnect structure employs a dual hardmask technique in a dual damascene process. The method includes using amorphous carbon as a first hardmask layer capable of being etched by a second etch process, and a second hardmask layer capable of being etched by a first etch process, as a dual hardmask. By virtue of the selective etch chemistry employed with the dual hardmask, the method affords flexibility unattainable with conventional processes. The via is never in contact with the photoresist, thus eliminating residual photoresist at the trench/via edge and the potential “poisoning” of the intermetal dielectric layer. Since trench/via imaging is completed before further etching, any patterning misalignments can be easily reworked. Because the amorphous carbon layer and the second hardmask layer are used as the dual hardmask, the photoresist can be made thinner and thus optimized for the best imaging performance.
    Type: Application
    Filed: May 3, 2002
    Publication date: November 6, 2003
    Inventor: Weimin Li
  • Patent number: 6641989
    Abstract: A silver salt photothermographic imaging material is disclosed, comprising a support provided thereon with a light sensitive layer containing an organic silver salt, a light sensitive silver halide, a reducing agent and a binder, wherein at least one side of the support is provided with a sublayer containing a metal oxide in an amount of 5 to 50% by volume and the surface of the sublayer exhibiting a maximum height (Ry) of not more than 0.1 &mgr;m.
    Type: Grant
    Filed: July 3, 2002
    Date of Patent: November 4, 2003
    Assignee: Konica Corporation
    Inventors: Takayuki Sasaki, Akihisa Nakajima, Tadashi Arimoto, Kenji Ohnuma, Yasuo Kurachi, Eiichi Ueda
  • Publication number: 20030203322
    Abstract: The present invention provides a spectrally sensitized photothermographic silver halide element support having a first surface and a second surface, a photothermographic composition that is to be exposed to a laser being disposed on the first surface of the support. The element has at least one back layer disposed on the second surface of the support. The photothermographic composition comprises silver halide emulsion grains having a number average grain size of less than 0.1 &mgr;m and that are spectrally sensitized with a sensitizing dye having a spectral sensitivity that corresponds to the spectral properties of the laser. The photothermographic composition further comprises an organic silver salt, a reducing agent for silver ions, and at least one dye. In addition, the photothermographic composition has a transmission optical density greater than 0.1 at the exposure wavelength, and the back layer has a transmission optical density greater than 0.1 at the exposure wavelength.
    Type: Application
    Filed: December 23, 2002
    Publication date: October 30, 2003
    Applicant: Eastman Kodak Company
    Inventors: Thomas C. Geisler, Thomas J. Kub, Darlene F. Stewart, Mark C. Skinner, Bryan V. Hunt
  • Publication number: 20030203314
    Abstract: In a process for producing hard masks, an initiator layer that contains an initiator component is applied to a substrate. Then, a photoresist is used to produce a pattern on the initiator layer, in the trenches of which pattern the initiator layer is uncovered. Then, a curable hard mask material is applied and selectively cured, so that only those sections of the hard mask material that adjoin the initiator layer are cured. Finally, uncured hard mask material is removed using a solvent, and at the same time the lands formed from the resist are also removed. The pattern obtained in this way can then be transferred to the substrate, for example using plasma.
    Type: Application
    Filed: April 29, 2003
    Publication date: October 30, 2003
    Inventors: Michael Sebald, Ernst-Christian Richter
  • Publication number: 20030198898
    Abstract: A method for fabricating a MOSFET structure having a source/drain extension and a source/drain region is disclosed, in which a basic antireflection coating is formed over a semiconductor substrate. A photoresist layer is formed over the basic antireflection coating. The photoresist layer is exposed to a radiation for transferring a pattern on the photoresist layer and the exposed photoresist layer is developed to form an opening over the areas for forming the source/drain regions, as a result a photoresist pattern with footing structures at a bottom comer of the photoresist pattern is formed. An ion implantation using the photoresist pattern as a mask, to simultaneously to form a source/drain extension and a source/drain region.
    Type: Application
    Filed: April 17, 2002
    Publication date: October 23, 2003
    Inventors: Shun-Li Lin, Chun-Yi Yang
  • Publication number: 20030198877
    Abstract: Antireflective compositions characterized by the presence of an SiO-containing polymer having chromophore moieties and transparent moieties are useful antireflective hardmask compositions in lithographic processes. These compositions provide outstanding optical, mechanical and etch selectivity properties while being applicable using spin-on application techniques. The compositions of the invention are advantageously useful with shorter wavelength lithographic processes and/or have minimal residual acid content.
    Type: Application
    Filed: April 16, 2002
    Publication date: October 23, 2003
    Applicant: International Business Machines Corporation
    Inventors: Dirk Pfeiffer, Marie Angelopoulos, Katherina Babich, Phillip Brock, Wu-Song Huang, Arpan P. Mahorowala, David R. Medeiros, Ratnam Sooriyakumaran
  • Publication number: 20030198878
    Abstract: A resist pattern of a resist film is formed by exposing the resist film using a gate electrode forming mask (a Levenson phase shift mask), and developing the resist film. An antireflection film is etched using the resist pattern as an etching mask, and the resist pattern and the antireflection film are trimmed. The manner of this trimming is not to etch a hard mask made of an inorganic material, but to etch the resist pattern and the antireflection film made of an organic material. Since a region consistent with a wiring pattern of the hard mask is covered by the resist pattern completely, breaking down and retraction of the wiring are prevented.
    Type: Application
    Filed: April 18, 2003
    Publication date: October 23, 2003
    Applicant: Fujitsu Limited
    Inventor: Takayoshi Minami
  • Publication number: 20030194660
    Abstract: The present invention provides a heat developable light sensitive material comprising a support and a constituent layer disposed on one surface of the support and including a light sensitive layer, wherein the constituent layer includes a binder, an organic silver salt, a reducing agent for silver ion, light sensitive silver halide grains, and at least one polymer binder selected from a group consisting of polyvinyl butyral, cellulose acetate, cellulose butyrate and derivatives thereof, and a mercury content of the constituent layer is no more than 1 mg/m2, and a logarithmic value of the cross sectional resistance value (&OHgr;) of the light sensitive material is no more than 12, and a coated amount of silver is no more than 1.9 g/m2, and image forming methods using the above-described heat developable light sensitive material.
    Type: Application
    Filed: February 25, 2003
    Publication date: October 16, 2003
    Inventor: Senzou Sasaoka
  • Publication number: 20030186172
    Abstract: In a process of producing low k inter-layer dielectric film in an interconnect structure on a semiconductor body, the improvement of preventing resist poisoning effects, comprising:
    Type: Application
    Filed: March 29, 2002
    Publication date: October 2, 2003
    Inventor: Zhijian Lu
  • Patent number: 6627387
    Abstract: A method of photolithography. An anti-reflective coating is formed on the conductive layer. An nitrogen plasma treatment is performed. A photo-resist layer is formed and patterned on the anti-reflective coating. The conductive layer is defined. The photo-resist layer is removed. The anti-reflective layer is removed by using phosphoric acid.
    Type: Grant
    Filed: April 5, 2001
    Date of Patent: September 30, 2003
    Assignee: United Microelectronics Corp.
    Inventors: Kevin Hsieh, Chih-Yung Lin, Chih-Hsiang Hsiao, Juan-Yuan Wu, Water Lur
  • Patent number: 6627389
    Abstract: Antireflective structures according to the present invention comprise a metal silicon nitride composition in a layer that is superposed upon a layer to be patterned that would other wise cause destructive reflectivity during photoresist patterning. The antireflective structure has the ability to absorb light used during photoresist patterning. The antireflective structure also has the ability to scatter unabsorbed light into patterns and intensities that are ineffective to photoresist material exposed to the patterns and intensities. One preferred material for the antireflective layer includes metal silicon nitride ternary compounds of the general formula MxSiyNz, where M is at least one transition metal, x is less than y and z is greater than about 0 and less than about 5y.
    Type: Grant
    Filed: August 2, 2000
    Date of Patent: September 30, 2003
    Assignee: Micron Technology, Inc.
    Inventor: Yongjun Hu
  • Publication number: 20030180666
    Abstract: A method of forming a patterned photoresist layer. First, an anti-reflection coating layer is formed on a substrate. Next, a first bake is performed. A photoresist layer is then formed on the anti-reflection coating layer. Exposure is performed. A second bake is performed, wherein the temperature difference between the first bake and the second bake is about 35° C.˜55 ° C. Finally, development is performed. The patterned photoresist layer features have perfect profiles in accordance with this invention.
    Type: Application
    Filed: July 10, 2002
    Publication date: September 25, 2003
    Applicant: NANYA TECHNOLOGY CORPORATION
    Inventors: Yuan-Hsun Wu, Wen-Bin Wu, Yung Long Hung, Ya Chih Wang
  • Publication number: 20030180634
    Abstract: The invention relates to a method of improving control over the dimensions of a patterned photoresist, which enables better control of the critical dimensions of a photomask or reticle which is fabricated using the patterned photoresist. In addition, the method may be used to enable improved control over the dimensions of a semiconductor device fabricated using a patterned photoresist. In particular, a patterned photoresist is treated with an etchant plasma to reshape the surface of the patterned photoresist, where reshaping includes the removal of “t”-topping at the upper surface of the patterned resist, the removal of standing waves present on patterned surfaces, and the removal of feet which may be present at the base of the patterned photoresist, where the photoresist contacts an underlying layer such as an ARC layer.
    Type: Application
    Filed: March 24, 2003
    Publication date: September 25, 2003
    Inventors: Alex Buxbaum, Melvin W. Montgomery
  • Patent number: 6613503
    Abstract: The invention relates to a photographic element comprising a reflection support and an overcoat layer wherein said overcoat layer comprises hydrophilic binder and lubricant, said lubricant has a particle mean diameter of greater than 1 &mgr;m and the volume of particles of lubricant below 1 &mgr;m is less than 5% of said total volume of lubricant.
    Type: Grant
    Filed: June 26, 1996
    Date of Patent: September 2, 2003
    Assignee: Eastman Kodak Company
    Inventors: Walter Harold Isaac, James Stephen Honan, Edgar Erick Riecke
  • Publication number: 20030148212
    Abstract: A process of forming ultra fine patterns using bottom anti-reflective coating containing acid generator. More particularly, a process of forming vertical patterns using an organic bottom anti-reflective coating containing excessive amount of acid generator, in order to prevent formation of sloping patterns due to photoresist resins absorbing wavelength of light used as light sources during lithography process using light sources such as KrF, ArF, VUV, EUV, E-beam and ion beam, even when photoresist resins having high absorbance to light source are used.
    Type: Application
    Filed: December 17, 2002
    Publication date: August 7, 2003
    Inventors: Sung Koo Lee, Jae Chang Jung, Geun Su Lee, Ki Soo Shin
  • Publication number: 20030143485
    Abstract: A printing plate for computer-to plate lithography having a laser-ablatable member supported by a substrate. At least one portion of the laser-ablatable member is formed form an acrylic polymer containing laser-sensitive particles. The laser-sensitive particles absorb imaging radiation and cause the portion of the laser-ablatable member containing the laser sensitive particles and any overlying layers to be ablated.
    Type: Application
    Filed: February 5, 2003
    Publication date: July 31, 2003
    Inventors: David S. Bennett, Sallie L. Blake, Daniel L. Serafin, Jean Ann Skiles, Robert E. Bombalski, Clinton S. Zediak, Gary A. Nitowski, Joseph D. Guthrie
  • Patent number: 6599682
    Abstract: The invention discloses an improvement in the photolithographic patterning method of a photoresist layer formed on a substrate surface with intervention of an anti-reflection coating film, in which the refractive index and the light-absorption coefficient of the anti-reflection coating film are controlled in such a way that, in a graph prepared by plotting the thickness of the anti-reflection coating film taken as the abscissa values and the reflectivity of the light for patterning exposure at the interface between the anti-reflection coating film and the photoresist layer thereon taken as the ordinate values, the range of the variation in the film thickness corresponding to an increment of 0.01 in the reflectivity in the vicinity of the minimum point on the thickness vs. reflectivity curve does not exceed ±0.01 &mgr;m.
    Type: Grant
    Filed: April 23, 2001
    Date of Patent: July 29, 2003
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Etsuko Iguchi, Kazumasa Wakiya
  • Patent number: 6599686
    Abstract: The present invention provides a spectrally sensitized photothermographic silver halide element comprising a support layer having on at least one surface thereof a photothermographic composition which displays uniform image density across its surface when exposed to floodlight or uniform incandescent light exposure at radiation wavelengths to which the element is sensitive, said element comprising at least two layers, including a top layer and a photothermographic emulsion layer, said photothermographic emulsion layer comprising a binder, a light insensitive silver source, a reducing agent for silver ion and infrared radiation sensitive silver halide grains, wherein the coherent radiation is rendered more diffuse in its passage through the element than when it strikes the top layer. This may be accomplished at least in part by 1) the top layer of the element having haze induced therein of 0.
    Type: Grant
    Filed: June 5, 2002
    Date of Patent: July 29, 2003
    Assignee: Eastman Kodak Company
    Inventors: Thomas C. Geisler, Thomas J. Kub, Darlene F. Stewart, Paul C. Schubert, James C. Vanous, Mark C. Skinner
  • Publication number: 20030138730
    Abstract: A printing plate for computer-to plate lithography having a laser-ablatable member supported by a substrate. At least one portion of the laser-ablatable member is formed form an acrylic polymer containing laser-sensitive particles. The laser-sensitive particles absorb imaging radiation and cause the portion of the laser-ablatable member containing the laser sensitive particles and any overlying layers to be ablated.
    Type: Application
    Filed: February 5, 2003
    Publication date: July 24, 2003
    Inventors: David S. Bennett, Sallie L. Blake, Daniel L. Serafin, Jean Ann Skiles, Robert E. Bombalski, Clinton S. Zediak, Gary A. Nitowski, Joseph D. Guthrie
  • Publication number: 20030138744
    Abstract: Disclosed is a photothermographic material having one or more image-forming layer and one or more layers on the outermost image-forming layer, wherein at least one of the layers on the outermost image-forming layer is a non-photosensitive layer having a thickness of 2.8-8 &mgr;m and at least one layer prepared by applying a coating solution containing 30 weight % or more of an organic solvent is formed between the support and the non-photosensitive layer. The photothermographic material shows little fluctuation of image line width and little generation of density unevenness and can form an image of high contrast and high maximum density with heat development under a highly humid environment.
    Type: Application
    Filed: August 2, 2002
    Publication date: July 24, 2003
    Inventor: Tadashi Ito
  • Publication number: 20030134238
    Abstract: A photothermographic material comprising: a reflective support; a photosensitive silver halide; a non-photosensitive organic silver salt; a reducing agent for silver ions; a binder; and an organic polyhalogen compound.
    Type: Application
    Filed: October 18, 2002
    Publication date: July 17, 2003
    Applicant: FUJI PHOTO FILM CO., LTD.
    Inventor: Yasuhiro Yoshioka
  • Publication number: 20030129547
    Abstract: Disclosed is a process for forming an image on a substrate, comprising the steps of: (a) coating on the substrate a first layer of a radiation sensitive, antireflective composition; (b) coating a second layer of a photoresist composition onto the first layer of the antireflective composition; (c) selectively exposing the coated substrate from step (b) to actinic radiation; and (d) developing the exposed coated substrate from step (c) to form an image; wherein both the photoresist composition and the antireflective composition are exposed in step (c); both are developed in step (d) using a single developer; wherein the antireflective composition of step (a) is a first minimum bottom antireflective coating (B.A.R.C.
    Type: Application
    Filed: January 9, 2002
    Publication date: July 10, 2003
    Inventors: Mark O. Neisser, Joseph E. Oberlander, Medhat A. Toukhy, Raj Sakamuri, Shuji Ding-Lee
  • Publication number: 20030124472
    Abstract: The present invention provides a heat-developable photosensitive material comprising a support; an undercoat layer disposed on the support and formed in an atmosphere having a cleanliness class of no more than M5.45; and a photosensitive layer including silver behenate as a non-photosensitive organic silver salt and disposed on the undercoat layer, and a method of producing a heat-developable photosensitive material comprising the steps of: forming an undercoat layer by applying a coating liquid for the undercoat layer to a support and drying the liquid; forming a photosensitive layer by applying a coating liquid for the photosensitive layer, which coating liquid includes silver behenate, and drying the liquid; wherein the undercoat layer is formed in an atmosphere having a cleanliness class of no more than M5.45.
    Type: Application
    Filed: September 26, 2002
    Publication date: July 3, 2003
    Inventor: Soichiro Higashi
  • Publication number: 20030124466
    Abstract: A gravure printing element is fabricated using a negative-working thermally-imageable coating that is exposed using commercially available diode lasers, the coating being insensitive to ultraviolet light, daylight or visible light, and developable using aqueous media. A gravure etch mask is formed on a printing precursor by applying a coating of thermally-imageable material, curing the coating, imagewise illuminating the cured coating with a laser and removing with a developer the areas of the coating that were not illuminated. The masked precursor is then chemically etched to produce a gravure printing element.
    Type: Application
    Filed: December 16, 2002
    Publication date: July 3, 2003
    Inventor: Jonathan W. Goodin
  • Patent number: 6586165
    Abstract: The present invention is a photographic element which includes, in order, a transparent support, at least one silver halide emulsion layer superposed on the support, optionally a white or diffuse reflective layer, and a processing-solution-permeable protective layer on the backside, which protective layer becomes water-resistant in the final product without lamination or fusing. The present invention is also directed to a method of making a photographic print involving developing the photographic element. The resulting print is viewed through the support, which provides protection against scratches and stains, while the polymeric overcoat provides water and stain protection to the reverse of the print where minor scratches or damage are not critical, since the image is not viewed from this side. Thus, this invention provides for a tough, stain resistant and transparent viewing surface and a stain resistant back side, which is permeable to processing solutions.
    Type: Grant
    Filed: April 27, 2001
    Date of Patent: July 1, 2003
    Assignee: Eastman Kodak Company
    Inventors: Kevin M. Donovan, Glenn M. Brown, Lloyd A. Lobo
  • Publication number: 20030118958
    Abstract: A silver salt photothermographic imaging material is disclosed, comprising a support provided thereon with a light sensitive layer containing an organic silver salt, a light sensitive silver halide, a reducing agent and a binder, wherein at least one side of the support is provided with a sublayer containing a metal oxide in an amount of 5 to 50% by volume and the surface of the sublayer exhibiting a maximum height (Ry) of not more than 0.1 &mgr;m.
    Type: Application
    Filed: July 3, 2002
    Publication date: June 26, 2003
    Applicant: KONICA CORPORATION
    Inventors: Takayuki Sasaki, Akihisa Nakajima, Tadashi Arimoto, Kenji Ohnuma, Yasuo Kurachi, Eiichi Ueda
  • Patent number: 6569612
    Abstract: A color photographic element is disclosed comprising at least three light-sensitive units which have their individual sensitivities in different wavelength regions, comprising at least one imaging layer comprising a light-sensitive silver-halide emulsion, binder, and a yellow coupler represented by the following structure: Wherein COUP is a photographic coupler residue capable of coupling with oxidized color developer to form a first yellow dye L is a linking group selected from the group consisting of —OC(═O)—, —OC(═S)—, —SC(═O)—, and —SC(═S)—, and —DYE is a releasable second yellow dye or yellow dye precursor, wherein COUP is an acetanilide compound that contains one or more electron-withdrawing groups such that the pKa at the coupling site is less than 8.7. Such yellow couplers improve activity and enhance dye densities.
    Type: Grant
    Filed: August 30, 2001
    Date of Patent: May 27, 2003
    Assignee: Eastman Kodak Company
    Inventors: Lelia Cosimbescu, Jared B. Mooberry, David T. Southby, Louis E. Friedrich
  • Publication number: 20030096198
    Abstract: The invention discloses a manufacturing method and structure of slanting diffusive reflectors that simplifies their manufacturing process and reduces cost. The invention uses a photo mask comprising a plurality of half-tone exposure units. A half-tone photolithography process is performed on the positive photoresist formed on a substrate. Only one exposure process and a suitable drying step are required to form a plurality of slants and rough astigmatisms on the slants. The size of the half-tone exposure units is randomly selected. Each half-tone exposure unit comprises a plurality of parallel transmitting strips or shadow strips. The pitch of the transmitting strips or the shadow strips in one half-tone exposure unit can be arbitrary. The width of the shadow strips is gradually changing from one side of the half-tone exposure unit to the other side.
    Type: Application
    Filed: November 16, 2001
    Publication date: May 22, 2003
    Inventors: Yi-Chun Wong, Ming-Dar Wei, Shang-Wen Chang
  • Patent number: 6562526
    Abstract: Spherical beads of critical dimensions and optical properties are combined with polarizing and photosensitive materials to produce a photographic film which unexpectedly collects apparent three dimensional information in the light carrying images into said film.
    Type: Grant
    Filed: March 16, 2000
    Date of Patent: May 13, 2003
    Inventor: Douglas Burke
  • Patent number: 6562544
    Abstract: This invention provides a method and apparatus for depositing a silicon oxide film over an antireflective layer to reduce footing experienced in the a subsequently applied photoresist layer without substantially altering the optical qualities of the antireflective layer. The invention thereby provides more accurate etching of underlying layers during patterning operations. The invention is also capable of providing more accurate patterning of thin films by reducing inaccuracies caused by excessive etching of photoresist during patterning. Additionally, the film of the present invention may be patterned and used as a mask in the patterning of underlying layers.
    Type: Grant
    Filed: November 4, 1996
    Date of Patent: May 13, 2003
    Assignee: Applied Materials, Inc.
    Inventors: David Cheung, Joe Feng, Judy H. Huang, Wai-Fan Yau
  • Patent number: 6562561
    Abstract: A heat-developable image-recording material which is heat-developed at a development temperature of from 80° C. to 140° C., and comprises, on both sides of a support, undercoat layers comprising a vinylidene chloride copolymer containing at least 70% by weight of vinylidene chloride monomer repeating units and having a thickness of 0.3 &mgr;m or more for each.
    Type: Grant
    Filed: July 21, 1999
    Date of Patent: May 13, 2003
    Assignee: Fuji Photo Film Co., Ltd.
    Inventor: Takashi Naoi
  • Patent number: 6555301
    Abstract: Photographic silver halide materials are prepared in a more economical and environmentally acceptable manner by using a specific matting agent in a adhesion-promoting layer between a polymeric support and one or more silver halide emulsion layers. The matting agent has an average particle size of at least 1 &mgr;m and up to about 10 &mgr;m and a glass transition temperature of at least 120° C. Specific matting agents are composed of a polymer represented by the following Structure I: wherein A represents recurring units derived from one or more polyfunctional ethylenically unsaturated polymerizable monomers, and B represents recurring units derived from one or more monofunctional ethylenically unsaturated polymerizable monomers, x is from about 5 to 100 weight %, and y is from 0 to about 95 weight %.
    Type: Grant
    Filed: July 9, 2002
    Date of Patent: April 29, 2003
    Assignee: Eastman Kodak Company
    Inventors: Dennis E. Smith, Patrick M. Lynch, Pedro R. Quinones, Eric J. Adsit
  • Patent number: 6555295
    Abstract: A very high reflection prevention effect can be produced for a variety of kinds of substrates, including those having transparent films and those having high reflectivity like metallic films, without posing any problem, such as aspect ratios, during the process of forming anti-reflective films This method can form fine and precise resist patterns and therefore improve the yield and reliability of devices to be manufactured. When applied to logic LSIs, this invention enables them to be manufactured at high dimensional precision and increases their operation speeds. While we have shown and described several embodiments in accordance with the present invention, it is understood that the same is not limited thereto, but is susceptible to numerous changes and modifications as is known in the art; and we therefore do not wish to be limited to the details shown and described herein, but intend to cover all such modifications as are encompassed by the scope of the appended claims.
    Type: Grant
    Filed: August 28, 2002
    Date of Patent: April 29, 2003
    Assignee: Hitachi, Ltd.
    Inventors: Toshihiko Tanaka, Shoichi Uchino, Naoko Asai
  • Publication number: 20030077546
    Abstract: The present invention is a photographic element which includes, in order, a transparent support, at least one silver halide emulsion layer superposed on the support, optionally a white or diffuse reflecttive layer, and a processing-solution-permeable protective layer on the backside, which protective layer becomes water-resistant in the final product without lamination or fusing. The present invention is also directed to a method of making a photographic print involving developing the photographic element. The resulting print is viewed through the support, which provides protection against scratches and stains, while the polymeric overcoat provides water and stain protection to the reverse of the print where minor scratches or damage are not critical, since the image is not viewed from this side. Thus, this invention provides for a tough, stain resistant and transparent viewing surface and a stain resistant back side, which is permeable to processing solutions.
    Type: Application
    Filed: April 27, 2001
    Publication date: April 24, 2003
    Applicant: Eastman Kodak Company
    Inventors: Kevin M. Donovan, Glenn M. Brown, Lloyd A. Lobo
  • Patent number: 6548232
    Abstract: A photothermographic material is disclosed, comprising a support having thereon an image recording layer comprising an organic silver salt, a silver halide, a reducing agent and a binder, wherein the outermost surface of the image recording layer side of the photothermographic material exhibits a difference in center-line mean roughness of not more than 10 nm between before and after being subjected to thermal processing.
    Type: Grant
    Filed: July 11, 2001
    Date of Patent: April 15, 2003
    Assignee: Konica Corporation
    Inventor: Narito Goto
  • Patent number: 6541180
    Abstract: A photosensitive lithographic printing including mats provided thereon wherein the number of the mats each having volume of not less than 4,500 &mgr;m3 and less than 18,000 &mgr;m3 exceeds 20% of the total number of the provided mats. Prominent deterioration in a vacuum-adhesion-time-shortening effect, which is the primary function of the mats, is prevented even in case where the matted surface of the photosensitive lithographic printing plate is subjected to pressure after production but before use.
    Type: Grant
    Filed: July 26, 2000
    Date of Patent: April 1, 2003
    Assignee: Fuji Photo Film Co., Ltd.
    Inventor: Toru Onogawa
  • Publication number: 20030059697
    Abstract: The invention relates to an imaging element comprising an imaging layer, a nacreous pigment, and at least one layer comprising voids.
    Type: Application
    Filed: August 16, 2001
    Publication date: March 27, 2003
    Applicant: Eastman Kodak Company
    Inventors: Narasimharao Dontula, Peter T. Aylward, Robert P. Bourdelais, Alphonse D. Camp
  • Patent number: 6537743
    Abstract: An silver halide photothermographic light sensitive material comprising a support having thereon a undercoating layer and a photographic light-sensitive layer containing a light-sensitive silver halide, and organic silver salt, a reducing agent and a binder, wherein the undercoating layer contains fine particles having a mean primary particle size of 0.01 to 1.6 &mgr;m and satisfying the following equation, 1≦(r2/r1)≦1.4 wherein r1 and r2 are respectively an inscribed circle radius and a circumscribed circle radius of each of projected images of the fine particles obtained by a microscope, and (r2/r1) is an average value of r2/r1 of projected images of 500 fine particles randomly selected from the whole fine particles.
    Type: Grant
    Filed: December 7, 2001
    Date of Patent: March 25, 2003
    Assignee: Konica Corporation
    Inventors: Yasuyuki Motokui, Kenji Ohnuma, Hidetoshi Ezure, Takayuki Sasaki, Ichiro Kudo
  • Publication number: 20030054261
    Abstract: A photothermographic material and its processing method are disclosed, the photothermographic material comprising a support and provided on one side of the support, one or more image forming layers containing a binder, an organic silver salt, silver halide, and a reducing agent, wherein the variation in the maximum surface roughness Rt of the surface on the image forming layer side between the materials before heat treatment and after heat treatment is not more than 1.5 &mgr;m.
    Type: Application
    Filed: February 28, 2002
    Publication date: March 20, 2003
    Inventor: Takeshi Sampei
  • Patent number: 6534234
    Abstract: A package of photosensitive planographic printing plates which comprises a stack of the photosensitive planographic printing plates between each of which a protection paper is interposed, wherein the coefficient of static friction between the surface of the photosensitive layer of the photosensitive planographic printing plate and the surface of the protection paper is 0.3 or more. In the package, slippage between the photosensitive planographic printing plate and the protection paper and abrasion of the photosensitive layer rarely occur through transport.
    Type: Grant
    Filed: October 5, 1998
    Date of Patent: March 18, 2003
    Assignee: Fuji Photo Film Co., Ltd.
    Inventors: Yasuhito Naruse, Takayuki Usui
  • Publication number: 20030049548
    Abstract: An improved method for applying organic antireflective coatings to substrate surfaces and the resulting precursor structures are provided. Broadly, the methods comprise chemical vapor depositing (CVD) an antireflective compound on the substrate surface. In one embodiment, the compound is highly strained (e.g., having a strain energy of at least about 10 kcal/mol) and comprises two cyclic moieties joined to one another via a linkage group. The most preferred monomers are [2.2](1,4)-naphthalenophane and [2.2](9,10)-anthracenophane. The CVD processes comprise heating the antireflective compound so as to vaporize it, and then pyrolizing the vaporized compound to form stable diradicals which are subsequently polymerized on a substrate surface in a deposition chamber. The inventive methods are useful for providing highly conformal antireflective coatings on large substrate surfaces having super submicron (0.25 &mgr;m or smaller) features.
    Type: Application
    Filed: June 28, 2002
    Publication date: March 13, 2003
    Inventors: Ram W. Sabnis, Douglas J. Guerrero, Terry Brewer, Mary J. Spencer
  • Publication number: 20030049566
    Abstract: An improved method for applying organic antireflective coatings to substrate surfaces and the resulting precursor structures are provided. Broadly, the methods comprise chemical vapor depositing (CVD) an antireflective compound on the substrate surface. In one embodiment, the compound is highly strained (e.g., having a strain energy of at least about 10 kcal/mol) and comprises two cyclic moieties joined to one another via a linkage group. The most preferred monomers are [2.2](1,4)-naphthalenophane and [2.2](9,10)-anthracenophane. The CVD processes comprise heating the antireflective compound so as to vaporize it, and then pyrolizing the vaporized compound to form stable diradicals which are subsequently polymerized on a substrate surface in a deposition chamber. The inventive methods are useful for providing highly conformal antireflective coatings on large substrate surfaces having super submicron (0.25 &mgr;m or smaller) features.
    Type: Application
    Filed: June 28, 2002
    Publication date: March 13, 2003
    Inventors: Ram W. Sabnis, Douglas J. Guerrero, Terry Brewer, Mary J. Spencer
  • Publication number: 20030044736
    Abstract: The invention relates to a photographic element comprising nacreous pigment.
    Type: Application
    Filed: August 16, 2001
    Publication date: March 6, 2003
    Applicant: Eastman Kodak Company
    Inventors: Peter T. Aylward, Robert P. Bourdelais, Alphonse D. Camp, Pamela M. Ferguson
  • Publication number: 20030044732
    Abstract: The present invention provides a spectrally sensitized photothermographic silver halide element comprising a support layer having on at least one surface thereof a photothermographic composition which displays uniform image density across its surface when exposed to floodlight or uniform incandescent light exposure at radiation wavelengths to which the element is sensitive, said element comprising at least two layers, including a top layer and a photothermographic emulsion layer, said photothermographic emulsion layer comprising a binder, a light insensitive silver source, a reducing agent for silver ion and infrared radiation sensitive silver halide grains, wherein the coherent radiation is rendered more diffuse in its passage through the element than when it strikes the top layer. This may be accomplished at least in part by 1) the top layer of the element having haze induced therein of 0.
    Type: Application
    Filed: June 5, 2002
    Publication date: March 6, 2003
    Inventors: Thomas C. Geisler, Thomas J. Kub, Darlene F. Stewart, Paul C. Schubert, James C. Vanous, Mark C. Skinner