Matting Or Other Surface Reflectivity Altering Material Patents (Class 430/950)
  • Publication number: 20030044726
    Abstract: A method for reducing light reflectance in photolithographic manufacturing process is disclosed including providing an inter-metal dielectric (IMD) layer including at least one via opening extending substantially perpendicular to a thickness therethrough, and, conformally forming an anti-reflectance coating (ARC) layer over said IMD layer such that the ARC layer is formed over sidewalls of the at least one via opening to reduce light reflectance.
    Type: Application
    Filed: August 29, 2001
    Publication date: March 6, 2003
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.,
    Inventors: Jong Chen, Shyue Sheng Lu, Jyu Horng Shieh
  • Publication number: 20030044737
    Abstract: This invention relates to an imaging element comprising at least one layer of oriented polyester and nacreous pigment.
    Type: Application
    Filed: August 16, 2001
    Publication date: March 6, 2003
    Applicant: Eastman Kodak Company
    Inventors: Robert P. Bourdelais, Peter T. Aylward, Narasimharao Dontula, Alphonse D. Camp
  • Publication number: 20030039930
    Abstract: The invention relates to an imaging element comprising at least one layer comprising nacreous pigment and polymer.
    Type: Application
    Filed: August 16, 2001
    Publication date: February 27, 2003
    Applicant: Eastman Kodak Company
    Inventors: Peter T. Aylward, Narasimharao Dontula, Alphonse D. Camp, Robert P. Bourdelais
  • Publication number: 20030039922
    Abstract: A desired pattern is formed in a photoresist layer that overlies a semiconductor wafer using a reflective mask. This mask is formed by consecutively depositing a reflective layer, an absorber layer and an anti-reflective (ARC) layer. The ARC layer is patterned according to the desired pattern. The ARC layer is inspected to find areas in which the desired pattern is not achieved. The ARC layer is then repaired to achieve the desired pattern with the absorber layer protecting the reflective layer. The desired pattern is transferred to the absorber layer to reveal the reflective portion of mask. Radiation is reflected off the reflective mask to the semiconductor wafer to expose the photoresist layer overlying the semiconductor wafer with the desired pattern.
    Type: Application
    Filed: August 24, 2001
    Publication date: February 27, 2003
    Inventors: Sang-In Han, Pawitter Mangat, James R. Wasson, Scott D. Hector
  • Publication number: 20030039925
    Abstract: Disclosed is a method for forming a photosensitive insulating film pattern and a reflection electrode each having an uneven upper surface, and a method for manufacturing an LCD having a reflection electrode using the same. A photosensitive insulating film is formed on a first substrate on which a first electrode having a reflection property is formed. The photosensitive insulating film is exposed to a light. The exposed photosensitive insulating film is developed to form an uneven surface with prominences and recesses. The reflection electrode is formed on the photosensitive insulating film. A second substrate having a transparent electrode is formed to face the first substrate. A liquid crystal layer is sandwiched between the first substrate and the second substrate.
    Type: Application
    Filed: July 9, 2002
    Publication date: February 27, 2003
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Yong-Kyu Jang, Jae-Hyun Kim
  • Publication number: 20030039929
    Abstract: Photographic silver halide materials are prepared in a more economical and environmentally acceptable manner by using a specific matting agent in a adhesion-promoting layer between a polymeric support and one or more silver halide emulsion layers. The matting agent has an average particle size of at least 1 &mgr;m and up to about 10 &mgr;m and a glass transition temperature of at least 120° C.
    Type: Application
    Filed: July 9, 2002
    Publication date: February 27, 2003
    Applicant: Eastman Kodak Company
    Inventors: Dennis E. Smith, Patrick M. Lynch, Pedro R. Quinones, Eric J. Adsit
  • Publication number: 20030039904
    Abstract: This invention relates to a photographic element comprising at least one layer comprising nacreous pigment above the image.
    Type: Application
    Filed: August 16, 2001
    Publication date: February 27, 2003
    Applicant: Eastman Kodak Company
    Inventors: Alphonse D. Camp, Peter T. Aylward, Robert P. Bourdelais
  • Patent number: 6521391
    Abstract: A printing plate for computer-to plate lithography having a laser-ablatable member supported by a substrate. At least one portion of the laser-ablatable member is formed form an acrylic polymer containing laser-sensitive particles. The laser-sensitive particles absorb imaging radiation and cause the portion of the laser-ablatable member containing the laser sensitive particles and any overlying layers to be ablated.
    Type: Grant
    Filed: September 14, 2000
    Date of Patent: February 18, 2003
    Assignee: Alcoa Inc.
    Inventors: David S. Bennett, Sallie L. Blake, Daniel L. Serafin, Jean Ann Skiles, Robert E. Bombalski, Clinton S. Zediak, Gary A. Nitowski, Joseph D. Guthrie
  • Patent number: 6521398
    Abstract: A polyester film is disclosed comprising on its upper side a latex subbing layer, and a gelatin subbing layer which contains a black spacing agent. This polyester film can be advantageously used as support for several imaging elements, such as photographic materials, (photo)thermographic materials, and ink jet recording elements.
    Type: Grant
    Filed: June 26, 2001
    Date of Patent: February 18, 2003
    Assignee: Agfa-Gevaert
    Inventors: Roland Claes, Etienne Van Thillo
  • Publication number: 20030031957
    Abstract: An improved method for applying organic antireflective coatings to substrate surfaces and the resulting precursor structures are provided. Broadly, the methods comprise chemical vapor depositing (CVD) an antireflective compound on the substrate surface. In one embodiment, the compound is highly strained (e.g., having a strain energy of at least about 10 kcal/mol) and comprises two cyclic moieties joined to one another via a linkage group. The most preferred monomers are [2.2](1,4)-naphthalenophane and [2.2](9,10)-anthracenophane. The CVD processes comprise heating the antireflective compound so as to vaporize it, and then pyrolizing the vaporized compound to form stable diradicals which are subsequently polymerized on a substrate surface in a deposition chamber. The inventive methods are useful for providing highly conformal antireflective coatings on large substrate surfaces having super submicron (0.25 &mgr;m or smaller) features.
    Type: Application
    Filed: June 28, 2002
    Publication date: February 13, 2003
    Inventors: Ram W. Sabnis, Douglas J. Guerrero, Terry Brewer, Mary J. Spencer
  • Publication number: 20030027060
    Abstract: A negative photoresist for transferring a photomask to a semiconductor wafer includes a passivated component that is activated by an exposure radiation, the activated component being configured to interact with the uppermost layer of the semiconductor wafer at the interface, the interaction ensuring increased adhesion between the negative photoresist and the substrate. Alternatively, a positive photoresist for transferring a photomask to a semiconductor wafer includes a component that is passivated by an exposure radiation, the activated component being configured to interact with the uppermost layer of the semiconductor wafer at the interface, the interaction ensuring increased adhesion between the positive photoresist and the substrate.
    Type: Application
    Filed: August 5, 2002
    Publication date: February 6, 2003
    Inventor: Kay Lederer
  • Publication number: 20030027083
    Abstract: One principal embodiment of the disclosure pertains to a method of optically fabricating a photomask using a direct write continuous wave laser, comprising a series of steps including: applying an organic antireflection coating over a surface of a photomask which includes a chrome-containing layer; applying a chemically-amplified DUV photoresist over the organic antireflection coating; post apply baking the DUV photoresist over a specific temperature range; exposing a surface of the DUV photoresist to the direct write continuous wave laser; and, post exposure baking the imaged DUV photoresist over a specific temperature range. The direct write continuous wave laser preferably operates at a wavelength of 244 nm or 257 nm. In an alternative embodiment, the organic antireflection coating may be applied over an inorganic antireflection coating which overlies the chrome containing layer.
    Type: Application
    Filed: July 23, 2001
    Publication date: February 6, 2003
    Applicant: Applied Materials, Inc.
    Inventors: Scott Fuller, Melvin W. Montgomery, Jeffrey A. Albelo, Alex Buxbaum
  • Publication number: 20030008242
    Abstract: A test reticle having a pad and antenna structures with varying critical dimensions is provided to measure sidewall angles developing in the resist sidewalls of clear lines. These sidewall angles originate from resist flow due to the occurrence of excessively high temperatures in a resist process on a lithographic track after the exposure of a semiconductor wafer. A scanning electron microscope is used to perform the measurement. A sequence of temperatures is applied in each postbake step to process a wafer, and the sidewall angle is determined afterwards from e.g. a critical dimension measurement with a known resist thickness. An error signal is issued, if a threshold value of a sidewall angle is exceeded. The temperature of the resist process, e.g. the postbake, is then adjusted to a temperature below the temperature causing the warning signal.
    Type: Application
    Filed: July 3, 2002
    Publication date: January 9, 2003
    Inventors: Thorsten Schedel, Torsten Seidel
  • Patent number: 6498000
    Abstract: A photocatalyst composite is provided which comprise a substrate having particles of a photocatalyst such as titanium oxide, adhered thereon via a less degradative adhesive such as a fluorinated polymer comprising a copolymer of a vinyl ester and/or vinyl ether and a fluoroolefin, or a silicon based polymer or cement. Furthermore, a process for producing the photocatalyst composite and a coating composition containing the photocatalyst composite are provided.
    Type: Grant
    Filed: June 27, 2001
    Date of Patent: December 24, 2002
    Assignees: Ishihara Sangyo Kaisha, Ltd.
    Inventors: Sadao Murasawa, Hajime Murakami, Yasuro Fukui, Mitsuru Watanabe, Akira Fujishima, Kazuhito Hashimoto
  • Patent number: 6485893
    Abstract: A surface-active agent is applied onto a surface to be processed of a wafer, onto which a chemical solution of an antireflection film is applied, thereby forming an antireflection film with the thickness of, for example, about 100 nm. Subsequently, the surface-active agent is applied onto a surface of the antireflection film, onto which a resist solution is applied, thereby forming a resist film with the thickness of, for example, about 500 nm. By applying a coating solution such as the chemical solution of the antireflection film, the resist solution and the like onto the surface-active agent as described above, the surface tension of the coating solution is decreased by the action of the surface-active agent, and the coating solution spreads approximately parallel to the surface of the wafer along the top surface of the wafer. Thus, the required coating amount of the coating solution is reduced, and the amount of the chemical solution can be saved.
    Type: Grant
    Filed: August 17, 2000
    Date of Patent: November 26, 2002
    Assignee: Tokyo Electron Limited
    Inventor: Yuji Matsuyama
  • Publication number: 20020172894
    Abstract: A hybrid optoelectronic device and method of producing the hybrid device in which the hybrid device includes a substrate with an input region configured to accept input light, a sol-gel glass multimode interference region coupled to and contiguous with the input region and configured to accept and replicate the input light as multiple self-images, and a sol-gel glass output region contiguous with the multimode region and configured to accept and to output the multiple self-images. Alternatively, the hybrid optoelectronic device includes a substrate with a photoelectronic device, a surface resonator including a light-emitting part of the photelectronic device and configured to resonate light from the photoelectronic device to produce a laser light, and a grating outcoupler contiguous with the surface resonator and configured to diffract the laser light outward from the grating outcoupler and to electrically vary an index of refraction of the outcoupler and change a direction of the diffracted laser light.
    Type: Application
    Filed: April 30, 2002
    Publication date: November 21, 2002
    Applicant: The Arizona Board of Regents
    Inventors: Mahmoud Fallahi, Amir Fardad
  • Publication number: 20020172898
    Abstract: A damascene structure includes a hard mask layer that is applied in a liquid phase to a line dielectric layer. Contemplated hard mask layers comprise a Si—N bond and are densified such that the etch resistivity of the hard mask layer is greater than the etch resistivity of the line dielectric layer and the via dielectric layer in the damascene structure. Particularly preferred hard mask layers include polyperhydrosilazane.
    Type: Application
    Filed: May 17, 2001
    Publication date: November 21, 2002
    Applicant: HONEYWELL INTERNATIONAL INC.
    Inventor: Lynn Forester
  • Publication number: 20020172896
    Abstract: The invention provides new light absorbing crosslinking compositions suitable for use as an antireflective composition (ARC), particularly suitable for short wavelength imaging applications such as 193 nm. The ARCs of the invention are preferably used with an overcoated resist layer (i.e. bottom layer ARCs) and in general comprise novel ARC resin binders that can effectively absorb reflected sub-200 nm exposure radiation.
    Type: Application
    Filed: April 20, 2002
    Publication date: November 21, 2002
    Applicant: Shipley Company, L.L.C.
    Inventors: Timothy G. Adams, Edward K. Pavelchek, Roger F. Sinta, Manuel DoCanto, Robert F. Blacksmith, Peter Trefonas
  • Patent number: 6482573
    Abstract: Critical dimension variation of photolithographically formed features on a semiconductor substrate is reduced by measuring the reflectivity of a photoresist layer and an underlying layer, such as a polysilicon layer, and adjusting the exposure level of the photoresist in accordance with the measured reflectivity. This allows precise control of feature width on the photoresist, which in turn allows precision etching of the underlying layer to accurately form a feature, such as a gate electrode.
    Type: Grant
    Filed: January 27, 2000
    Date of Patent: November 19, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Jayendra D. Bhakta, Zicheng Gary Ling, Weizhong Wang, Warren T. Yu, Eric Kent
  • Patent number: 6482580
    Abstract: A silver salt photothermographic material is disclosed, comprising a support provided thereon at least one light-sensitive layer containing an organic silver salt, light-sensitive silver halide grains and a reducing agent, wherein the surface of at least one side of the photothermographic material exhibits a coefficients of dynamic friction of 0.1 to 0.4 when being in contact with a stainless steel plate heated at a temperature of 100° C. The photothermographic material also contains solid lubricant particles.
    Type: Grant
    Filed: October 4, 2001
    Date of Patent: November 19, 2002
    Assignee: Konica Corporation
    Inventor: Kiyokazu Morita
  • Patent number: 6479227
    Abstract: A thermographic recording element comprising a thermographic recording layer containing an organic silver salt and a reducing agent on a support is provided with an antistatic layer containing acicular conductive metal oxide particles having an aspect ratio of from 3 to 50. In another embodiment, the element is provided with at least two back layers, the outermost layer of which contains a hydrophobic polymer binder and another layer of which contains a matte agent. The element has improved transparency, conductivity and high-speed processing adaptability.
    Type: Grant
    Filed: February 5, 1999
    Date of Patent: November 12, 2002
    Assignee: Fuji Photo Film Co., Ltd.
    Inventors: Toshiaki Kubo, Naohiro Nakayama
  • Publication number: 20020164545
    Abstract: Methods of forming a resist pattern, of forming an electrode pattern, and of manufacturing a surface acoustic wave device are provided. The resist-pattern- and the electrode-pattern-forming methods each comprise a step of forming an antireflection film for preventing ultraviolet light from diffusely reflecting to a transparent substrate. The antireflection film is formed with a semiconductor having a band gap energy of 3.4 eV or less. The reflectance is expressed by (n1−n2)2/(n1+n2)2 is 0.15 or less, wherein n1 and n2 is the refractive indexes of the substrate and the antireflection film, respectively. The resist-pattern- and the electrode-pattern-forming methods with simple processes can achieve high-quality, reliable resist patterns and electrode patterns.
    Type: Application
    Filed: January 7, 2002
    Publication date: November 7, 2002
    Applicant: Murata Manufacturing Co., Ltd.
    Inventors: Kenji Sakaguchi, Toshiyuki Fuyutsume, Yoshihiro Koshido
  • Patent number: 6475712
    Abstract: Photographic elements are described which comprise a support, at least one image-forming layer, and at least one surface protective layer that contains a polymeric binder and composite wax particles having a wax phase and a polymer phase, wherein the polymer phase is soluble in alkaline photographic processing solutions. The surface protective layer advantageously enables a change in frictional characteristics after film processing in alkaline solutions which enables the photographic element to have both pre-processed wound roll stability and high post-processed lubricity.
    Type: Grant
    Filed: November 28, 2001
    Date of Patent: November 5, 2002
    Assignee: Eastman Kodak Company
    Inventors: Yongcai Wang, Charles C. Anderson
  • Patent number: 6472128
    Abstract: Antihalation compositions and methods for reducing the reflection of exposure radiation of a photoresist overcoated said compositions. The antihalation compositions of the invention comprise a resin binder and material capable of causing a thermally induced crosslinking reaction of the resin binder.
    Type: Grant
    Filed: August 7, 2001
    Date of Patent: October 29, 2002
    Assignee: Shipley Company, L.L.C.
    Inventors: James W. Thackeray, George W. Orsula
  • Patent number: 6468709
    Abstract: The invention relates to an element consisting essentially of a photographic element comprising a base material having an upper surface comprising an oriented sheet, at least one photosensitive silver halide layer, and at least one sharpness enhancing agent above said photosensitive silver halide layer.
    Type: Grant
    Filed: July 13, 2001
    Date of Patent: October 22, 2002
    Assignee: Eastman Kodak Company
    Inventors: Peter T. Aylward, Alphonse D. Camp, Robert P. Bourdelais, Gary J. Mcsweeney
  • Patent number: 6465148
    Abstract: A composition for forming a radiation absorbing coating which comprises an organic solvent, a radiation absorbing polymer or a radiation absorbing material dissolved therein and a crosslinking agent having blocked isocyanate groups. Since the isocyanate groups of the crosslinking agent have been blocked, the composition containing the crosslinking agent has excellent storage stability. When the composition applied to a substrate and then baked, crosslinking proceeds to give an antireflective coating, which does not intermix with a resist layer to be formed thereon by coating and is free from diffusion of a photo-generated acid thereinto from the resist layer. As a result, a resist image free from footing or scum can be formed.
    Type: Grant
    Filed: May 23, 2000
    Date of Patent: October 15, 2002
    Assignee: Clariant Finance (BVI) Limited
    Inventors: Wen-Bing Kang, Ken Kimura, Shoko Matsuo, Yoshinori Nishiwaki, Hatsuyuki Tanaka
  • Patent number: 6461776
    Abstract: Disclosed are methods for forming a resist pattern which solve a problem caused by halation and interference phenomena due to reflected light from the substrate. A first method forms between the substrate and resist film an anti-reflective film whose photoabsorbance of the exposure light is greater on the substrate surface side than on the resist surface side. A second method forms between the substrate and resist film a two-layer anti-reflective film made up of an upper interference film for the exposure light and a lower film having higher exposure light absorbance than the upper film and functions as a light shielding film. A third method forms between the substrate and resist film a two-layer anti-reflective film consisting of a lower film that reflects exposure light and an upper film that is an interference film for the exposure light.
    Type: Grant
    Filed: January 25, 2002
    Date of Patent: October 8, 2002
    Assignee: Hitachi, Ltd.
    Inventors: Toshihiko Tanaka, Shoichi Uchino, Naoko Asai
  • Publication number: 20020132190
    Abstract: An improved method for applying organic anti-reflective coatings to substrate surfaces and the resulting precursor structures are provided. Broadly, the methods comprise chemical vapor depositing (CVD) a polymer on the substrate surface. In one embodiment, the polymer are formed of highly strained monomers (e.g., monomers having a strain energy of at least about 10 kcal/mol) which themselves comprise two cyclic moieties joined to one another via an alkyl chain. One preferred such monomer is 1,4-dixylylene. The CVD processes comprise heating the monomer so as to vaporize the monomer and then pyrolizing the monomer in the resulting vapor to form stable diradicals which are subsequently polymerized on a substrate surface in a deposition chamber. The inventive methods are useful for providing highly conformal anti-reflective coatings on large substrate surfaces having super submicron (0.25 &mgr;m or smaller) features.
    Type: Application
    Filed: October 30, 2001
    Publication date: September 19, 2002
    Inventors: Terry Brewer, Douglas Guerrero, Ram W. Sabnis, Mary J. Spencer
  • Patent number: 6423474
    Abstract: A method of using dielectric anti-reflective coating (DARC) in conjunction with bottom anti-reflective coating (BARC) to form an anti-reflective barrier layer is provided. The anti-reflective layer conforms to the topography of the substrate surface and is adapted to function effectively in both annealed and unannealed states. The method of using DARC in combination with BARC also inhibits the nitride layer of a gate stack to seep into adjacent photoresist layers and adversely affect the composition of the photoresist.
    Type: Grant
    Filed: March 21, 2000
    Date of Patent: July 23, 2002
    Assignee: Micron Technology, Inc.
    Inventor: Richard D. Holscher
  • Patent number: 6420088
    Abstract: Antireflective compositions characterized by the presence of an SiO-containing polymer having pendant chromophore moieties are useful antireflective coating/hardmask compositions in lithographic processes. These compositions provide outstanding optical, mechanical and etch selectivity properties while being applicable using spin-on application techniques. The compositions are especially useful in lithographic processes used to configure underlying material layers on a substrate, especially metal or semiconductor layers.
    Type: Grant
    Filed: June 23, 2000
    Date of Patent: July 16, 2002
    Assignee: International Business Machines Corporation
    Inventors: Marie Angelopoulos, Ari Aviram, C. Richard Guarnieri, Wu-Song Huang, Ranee Kwong, Wayne M. Moreau
  • Patent number: 6413705
    Abstract: A heat-developable recording material comprises a support, at least one undercoat layer and at least one image-forming layer, in this order, wherein the undercoat layer comprises: polyester resins containing at least two kinds of water-soluble and water-dispersible polyester resins, each of which has a different glass transition temperature (Tg); and fine particles having an average particle diameter (k) of from 0.1 &mgr;m to 2.0 &mgr;m, and the undercoat layer has an average film thickness (d) of from 0.05 &mgr;m to 1.0 &mgr;m, and (k)/(d) is in the range from 2.0 to 10.0.
    Type: Grant
    Filed: October 16, 2001
    Date of Patent: July 2, 2002
    Assignee: Fuji Photo Film Co., Ltd.
    Inventors: Sadao Fujikura, Hiroshi Haraoka
  • Publication number: 20020076655
    Abstract: The invention relates to methods of writing a light-guiding structure in a bulk glass substrate. The bulk glass substrate is preferably made from a soft silica-based material having an annealing point less than about 1380°K. A pulsed laser beam is focused within the substrate while the focus is translated relative to the substrate along a scan path at a scan speed effective to induce an increase in the refractive index of the material along the scan path. Substantially no laser-induced physical damage of the material is incurred along the scan path. Various optical devices can be made using this method.
    Type: Application
    Filed: September 17, 2001
    Publication date: June 20, 2002
    Inventors: Nicholas F. Borrelli, Joseph F. Schroeder, Charlene M. Smith, Alexander Streltsov
  • Patent number: 6399259
    Abstract: An alignment method for photolithography, especially for forming an alignment marker on a substrate after ion implantation. A substrate that includes a device region and an alignment mark region is provided. A first patterned photoresist layer is formed over the substrate. The first patterned photoresist layer includes an alignment marker within the alignment mark region and an ion implantation pattern within the device region. Using the first patterned photoresist layer as a mask, an ion implantation is carried out to form a plurality of doped regions. A second patterned photoresist layer that exposes the alignment marker is formed over the ion-implant pattern of the first patterned photoresist layer. Using the alignment marker as a mask, the substrate is etched to form a plurality of recess regions.
    Type: Grant
    Filed: December 11, 2000
    Date of Patent: June 4, 2002
    Assignee: Macronix International Co., Ltd.
    Inventor: Ching-Yu Chang
  • Patent number: 6399292
    Abstract: A photothermographic material which contains on one side of a support (a) a catalytically active amount of a photocatalyst, (b) a reducing agent, (c) a reducible silver salt, and (d) a binder, wherein a matting agent having a softening temperature of from 100 to 500° C. is contained at least on one side of the support.
    Type: Grant
    Filed: September 30, 1999
    Date of Patent: June 4, 2002
    Assignee: Fuji Photo Film Co., Ltd.
    Inventors: Itsuo Fujiwara, Ichizo Toya
  • Patent number: 6383723
    Abstract: A method for reducing defects in the profiles of chemically amplified photoresists used in deep ultraviolet (DUV) and laser lithography. Chemically amplified resists are typically highly sensitive to nitrogen-bearing surface contaminants, and photoresist layers formed on contaminated surfaces exhibit profile defects such as resist footing and T-topping. These defects are reduced by pretreating the surface of a semiconductor device or other structure with a cleansing etchant prior to the formation of the photoresist layer. The cleansing etchant is a solution of sulfuric acid and an oxidizing agent known as “piranha.
    Type: Grant
    Filed: August 28, 1998
    Date of Patent: May 7, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Ravi Iyer, Ardavan Niroomand
  • Publication number: 20020045137
    Abstract: Photographic silver halide materials are prepared in a more economical and environmentally acceptable manner by using a specific matting agent in a hydrophilic subbing layer between a polymeric support and one or more silver halide emulsion layers. The matting agent has an average particle size of at least 1 &mgr;m and up to about 10 &mgr;m and a glass transition temperature of at least 120° C.
    Type: Application
    Filed: August 17, 2001
    Publication date: April 18, 2002
    Inventors: Dennis E. Smith, Patrick M. Lynch, Pedro R. Quinones, Eric J. Adsit
  • Publication number: 20020039704
    Abstract: The present invention provides a lithography and etching process using a hardened photoresist layer. A material layer is formed over a substrate. An anti-reflective layer is formed over the material layer. A lithography process is performed to form a patterned photoresist layer. A reactive ion etching step is performed to remove the anti-reflective layer exposed by the patterned photoresist layer. At the same time, the patterned photoresist layer is hardened. The material layer is removed using the hardened patterned photoresist layer as a mask. The resolution is improved for lithography and the process window is enlarged for etching process.
    Type: Application
    Filed: December 14, 2000
    Publication date: April 4, 2002
    Inventors: Kuen Sane Din, Chung Chia Chi
  • Patent number: 6365320
    Abstract: An anti-reflective film for deep ultraviolet (DUV) photolithograghy includes silicon oxime having the formula Si(1−x+y+z)NxOy:H2, wherein x, y, and z represent the atomic percentage of nitrogen, oxygen, and hydrogen, respectively. The film is characterized by a substantial lack of bonding between silicon atoms and oxygen atoms, and has a thickness of less than approximately 600 Å which is selected to produce destructive interference between incident and reflected light at a selected DUV wavelength.
    Type: Grant
    Filed: January 19, 1999
    Date of Patent: April 2, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: David K. Foote, Subhash Gupta
  • Publication number: 20020037483
    Abstract: A polyester film is disclosed comprising on its upper side a latex subbing layer, and a gelatin subbing layer which contains a black spacing agent. This polyester film can be advantageously used as support for several imaging elements, such as photographic materials, (photo)thermographic materials, and ink jet recording elements.
    Type: Application
    Filed: June 26, 2001
    Publication date: March 28, 2002
    Inventors: Roland Claes, Etienne Van Thillo
  • Publication number: 20020031729
    Abstract: Antireflective compositions are provided that contain a basic additive material. Such use of a basic material can significantly decrease or even completely eliminate notching of an overcoated photoresist relief image. Antireflective formulations of the invention are preferably crosslinking compositions and may contain a resin component in addition to the basic additive. Antireflective compositions of the invention can be effectively used at a variety of wavelengths used to expose an overcoated photoresist layer, including 248 nm and 193 nm.
    Type: Application
    Filed: July 30, 2001
    Publication date: March 14, 2002
    Applicant: Shipley Company, L.L.C.
    Inventors: Peter Trefonas, Manuel doCanto, Edward K. Pavelchek
  • Publication number: 20020031726
    Abstract: A method of photolithography. An anti-reflective coating is formed on the conductive layer. An nitrogen plasma treatment is performed. A photo-resist layer is formed and patterned on the anti-reflective coating. The conductive layer is defined. The photo-resist layer is removed. The anti-reflective layer is removed by using phosphoric acid.
    Type: Application
    Filed: April 5, 2001
    Publication date: March 14, 2002
    Inventors: Kevin Hsieh, Chih-Yung Lin, Chih-Hsiang Hsiao, Juan-Yuan Wu, Water Lur
  • Patent number: 6355400
    Abstract: Disclosed are methods for forming a resist pattern which solve a problem caused by halation and interference phenomena due to reflected light from the substrate. A first method forms between the substrate and resist film an anti-reflective film whose photoabsorbance of the exposure light is greater on the substrate surface side than on the resist surface side. A second method forms between the substrate and resist film a two-layer anti-reflective film made up of an upper interference film for the exposure light and a lower film having higher exposure light absorbance than the upper film and functions as a light shielding film. A third method forms between the substrate and resist film a two-layer anti-reflective film consisting of a lower film that reflects exposure light and an upper film that is an interference film for the exposure light.
    Type: Grant
    Filed: May 7, 2001
    Date of Patent: March 12, 2002
    Assignee: Hitachi, Ltd.
    Inventors: Toshihiko Tanaka, Shoichi Uchino, Naoko Asai
  • Publication number: 20020022196
    Abstract: The present invention provides new light absorbing compositions suitable for use as antireflective coating compositions (“ARCs”), including for deep UV applications. The antireflective compositions of the invention are particularly useful where a planarizing coating layer is required. ARCs of the invention contain a low molecular weight resin, a plasticizer compound and/or a low Tg resin. The invention also includes methods for applying forming planarizing ARC coating layers.
    Type: Application
    Filed: September 15, 2001
    Publication date: February 21, 2002
    Applicant: Shipley Company, L.L.C.
    Inventors: Edward K. Pavelchek, Timothy G. Adams, Manuel doCanto, Suzanne Coley, George G. Barclay
  • Publication number: 20020018957
    Abstract: The invention relates to an element consisting essentially of a photographic element comprising a base material having an upper surface comprising an oriented sheet, at least one photosensitive silver halide layer, and at least one sharpness enhancing agent above said photosensitive silver halide layer.
    Type: Application
    Filed: July 13, 2001
    Publication date: February 14, 2002
    Inventors: Peter T. Aylward, Alphonse D. Camp, Robert P. Bourdelais, Gary J. McSweeney
  • Publication number: 20020015924
    Abstract: A photothermographic material which contains on one side of a support (a) a catalytically active amount of a photocatalyst, (b) a reducing agent, (c) a reducible silver salt, and (d) a binder, wherein a matting agent having a softening temperature of from 100 to 500° C. is contained at least on one side of the support.
    Type: Application
    Filed: September 30, 1999
    Publication date: February 7, 2002
    Inventor: ITSUO FUJIWARA
  • Publication number: 20020012875
    Abstract: The invention provides new light absorbing crosslinking compositions suitable for use as an antireflective composition, particularly for deep UV applications. The antireflective compositions of the invention comprise a photoacid generator that is activated during exposure of an overcoated photoresist. Antireflective compositions of the invention can significantly reduce undesired footing of an overcoated resist relief image.
    Type: Application
    Filed: July 14, 2001
    Publication date: January 31, 2002
    Applicant: Shipley Company, L.L.C.
    Inventors: Edward K. Pavelchek, Manuel DoCanto
  • Publication number: 20010055730
    Abstract: A method of manufacturing a semiconductor device through use of an organic polymeric material, the material having a superior embedding characteristic which enables uniform embedding without regard to density of hole patterns and realizing a high etch rate, an embedding material for use with the method and a semiconductor device. An organic polymeric material can be embedded into the hole patterns to a uniform height regardless of their density, by means of coating the material several times. Further, there is formed the organic polymeric material film 30 which is to be used for embedding hole patterns and from which a pigment component is eliminated so that the etch rate of the organic polymeric film 30 is increased. By means of applying the organic anti-reflective material film 32 over the organic polymeric material film 30, a film of uniform height can be formed through multiple stages. The interconnection trenches which do not require consideration for embedding hole patterns are formed first.
    Type: Application
    Filed: December 21, 2000
    Publication date: December 27, 2001
    Applicant: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Takeo Ishibashi, Takeshi Okita
  • Patent number: 6331379
    Abstract: A method for fabricating an integrated circuit using a photo-lithographic process includes the steps of placing at least two anti-reflective coating layers between a reflective surface and another material. The indices of refraction, absorptions, and thicknesses of the at least two anti-reflective coating layers are chosen such that the amplitudes and phase differences of radiation reflected from the anti-reflective coating, layers, as well as any other reflective surfaces below the anti-reflective coating layers, mutually cancel when combined. The invention may be practiced using more than two layers of anti-reflective coating. Multiple layers of anti-reflective coating may be used below an inter-level dielectric, in which case they may serve the additional purpose of functioning as an etch-stop.
    Type: Grant
    Filed: September 1, 1999
    Date of Patent: December 18, 2001
    Assignee: Micron Technology, Inc.
    Inventors: Philip J. Ireland, Thomas R. Glass, Gurtej Sandhu
  • Publication number: 20010049075
    Abstract: A resist film is formed by applying, on a semiconductor substrate, a resist material including at least one atom or group selected from the group consisting of a halogen atom, a cyano group, a nitro group, an alkoxy group, an amino group, an alkyl group, a trifluoromethyl group and a mercapto group. The resist film is irradiated with exposing light of a wavelength of a 1 nm through 180 nm band for pattern exposure, and the resist film is developed after the pattern exposure, so as to form a resist pattern.
    Type: Application
    Filed: March 8, 2000
    Publication date: December 6, 2001
    Inventors: Shinji Kishimura, Akiko Katsuyama, Masaru Sasago
  • Patent number: 6326132
    Abstract: A photothermographic material for laser exposure excellent in granularity and having no blocking trouble, which comprises a support having provided thereon at least one image formation layer containing at least one silver halide, and at least one non-image recording protective layer provided on the side far away from the support of said image formation layer, wherein said protective layer contains a matte agent composed of an inorganic compound and an organic polymer compound.
    Type: Grant
    Filed: February 15, 2000
    Date of Patent: December 4, 2001
    Assignee: Fuji Photo Film Co., Ltd.
    Inventors: Ichizo Toya, Munehisa Fujita