Optimization Patents (Class 716/132)
  • Patent number: 11941340
    Abstract: Aspects of the invention include methods, systems, and computer program products for integrated circuit development using cross-hierarchy antenna condition verification. A method includes obtaining a design of a hierarchical macro distributed between multiple files for an integrated circuit and analyzing, by a design verification tool, a route between at least one child macro and at least one pin of the hierarchical macro as defined in the files. The method further includes determining, by the design verification tool, a plurality of connection characteristics of the at least one child macro and the at least one pin forming the route and calculating, by the design verification tool, an antenna condition for the route based on the connection characteristics. The design of the hierarchical macro is adjusted to remove a violation of an antenna rule based on determining that the antenna condition of the route violates the antenna rule.
    Type: Grant
    Filed: August 16, 2021
    Date of Patent: March 26, 2024
    Assignee: International Business Machines Corporation
    Inventors: Michael Alexander Bowen, Gerald L Strevig, III, Amanda Christine Venton, Robert Mahlon Averill, III, Adam P. Matheny, David Wolpert, Mitchell R. DeHond
  • Patent number: 11934919
    Abstract: Disclosed are a quantum topology graph optimization method, apparatus, terminal and storage medium, comprising: obtaining a first quantum topology graph of a target quantum algorithm, determining an intermediate node in the first quantum topology graph, and removing connecting lines between other graph nodes other than the intermediate node so as to obtain a second quantum topology graph without the crossed connecting lines; if not, updating the first quantum topology graph to a third quantum topology graph; determining an optimized sub-graph corresponding to one node to be optimized and composed of N child nodes connected by connecting lines according to a preset way, assigning connecting lines between non-optimized nodes and each child node so as to obtain a fourth quantum topology graph; restoring connecting lines between non-optimized nodes in the fourth quantum topology graph so as to obtain an optimized quantum topology graph.
    Type: Grant
    Filed: September 29, 2021
    Date of Patent: March 19, 2024
    Assignee: ORIGIN QUANTUM COMPUTING TECHNOLOGY (HEFEI) CO., LTD.
    Inventor: Weicheng Kong
  • Patent number: 11928409
    Abstract: A computer-implemented method includes receiving, by a processor, a physical design block and a physical hierarchy of a chip design of a chip. Further, the method includes extracting, by the processor, one or more features of a macro to be added to the chip design based on a logic synthesis of the chip design. Further, the method includes predicting, by the processor, specifications of the macro to be added to the chip design based on the physical design block, the predicting performed using a pre-trained machine learning model. Further, the method includes using, by the processor, the specifications of the macro to perform a physical synthesis of the chip design to determine a physical layout of the chip.
    Type: Grant
    Filed: January 3, 2022
    Date of Patent: March 12, 2024
    Assignee: International Business Machines Corporation
    Inventors: Daniel Lewis, Rahul M Rao
  • Patent number: 11900033
    Abstract: An aspect of the disclosed embodiments is a method for printed circuit board (PCB) component placement comprising: graphically displaying, on a display device, PCB design features of a PCB design; and providing a user interface control for designating one or more of the PCB design features as electrical contacts for a first selected electrical component. Other aspects are disclosed.
    Type: Grant
    Filed: September 27, 2021
    Date of Patent: February 13, 2024
    Assignee: BOARDERA SOFTWARE INC.
    Inventors: Curtis Hunter, David Workman
  • Patent number: 11899513
    Abstract: A power management controller is disclosed. Broadly speaking, the controller may, in response to receiving a timing signal, monitor a temperature of an integrated circuit including multiple processor clusters. The controller may generate a comparison of the temperature and a threshold value, and in response to a determination that the comparison indicates that the temperature is less than the threshold value, transition a particular processor cluster to a new power state.
    Type: Grant
    Filed: January 9, 2023
    Date of Patent: February 13, 2024
    Assignee: Oracle International Corporation
    Inventors: Yifan YangGong, Sebastian Turullols
  • Patent number: 11902384
    Abstract: A disclosed example to determine a migration recommendation of a service between geographic regions includes: a graph generator to generate an interaction graph, the interaction graph including first and second nodes and an edge therebetween, the first node representative of a first service in a first geographic region, the second node representative of a second service in a second geographic region, and the edge representative of a network path of interactions between the first and second services; a weighing engine to determine a weight value of the edge between the first and second services based on a count of network interactions between the first and second services and a real-time latency between the first and second services; and a recommendation engine to generate a migration recommendation to migrate the first service to the second geographic region based on the weight value of the edge.
    Type: Grant
    Filed: May 27, 2022
    Date of Patent: February 13, 2024
    Assignee: VMware LLC
    Inventors: Yash Bhatnagar, Chandrashekhar Jha, Amit Kumar, Rajat Garg, Kruti Erraguntala
  • Patent number: 11893335
    Abstract: Embodiments include herein are directed towards a method for electronic circuit design. Embodiments may include receiving a selection of an instance associated with an electronic design at an electronic design schematic displayed on a graphical user interface. Embodiments may also include selecting a corresponding instance within an electronic design layout displayed on a graphical user interface. Embodiments may further include receiving a selection of a source topology and routing at the electronic design layout displayed on the graphical user interface, based upon at least in part, the source topology.
    Type: Grant
    Filed: September 17, 2021
    Date of Patent: February 6, 2024
    Assignee: Cadence Design Systems, Inc.
    Inventor: Arnold Jean Marie Gustave Ginetti
  • Patent number: 11829692
    Abstract: Training data may be collected based on a set of test-case configurations for each integrated circuit (IC) design in a set of IC designs. The training data may include a set of features extracted from each IC design, and a count of test cycles required for achieving a target test coverage for each test-case configuration. A machine learning (ML) model may be trained using the training data to obtain a trained ML model. The trained ML model may be used to predict a set of ranked test-case configurations for a given IC design based on features extracted from the given IC design.
    Type: Grant
    Filed: June 11, 2021
    Date of Patent: November 28, 2023
    Assignee: Synopsys, Inc.
    Inventors: Apik A Zorian, Fadi Maamari, Suryanarayana Duggirala, Mahilchi Milir Vaseekar Kumar, Basim Mohammed Issa Shanyour
  • Patent number: 11815808
    Abstract: A method for source mask optimization with a lithographic projection apparatus. The method includes determining a multi-variable source mask optimization function using a plurality of tunable design variables for an illumination system of the lithographic projection apparatus, a projection optics of the lithographic projection apparatus to image a mask design layout onto a substrate, and the mask design layout. The multi-variable source mask optimization function may account for imaging variation across different positions in an exposure slit corresponding to different stripes of the mask design layout exposed by a same slit position of the exposure apparatus. The method includes iteratively adjusting the plurality of tunable design variables in the multi-variable source mask optimization function until a termination condition is satisfied.
    Type: Grant
    Filed: October 3, 2019
    Date of Patent: November 14, 2023
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Kars Zeger Troost, Eelco Van Setten, Duan-Fu Stephen Hsu
  • Patent number: 11810916
    Abstract: A semiconductor capacitor array layout generates parasitic capacitance toward an edge of the layout so as to reduce a capacitance difference between an outer capacitor unit and an inner capacitor unit. The semiconductor capacitor array layout includes a first conductive structure and a second conductive structure. The first conductive structure includes: longitudinal first conductive strips disposed in a first integrated circuit (IC) layer; and lateral first conductive strips disposed in a second IC layer. The longitudinal and lateral first conductive strips jointly form well-type structures including outer wells and inner wells that are electrically connected. The second conductive structure includes second conductors disposed in the first IC layer. The second conductors include outer conductors and inner conductors that are electrically disconnected and respectively disposed in the outer wells and the inner wells. The outer wells and the closest inner conductors jointly generate parasitic capacitance.
    Type: Grant
    Filed: February 22, 2022
    Date of Patent: November 7, 2023
    Assignee: REALTEK SEMICONDUCTOR CORPORATION
    Inventor: Shih-Hsiung Huang
  • Patent number: 11804433
    Abstract: A semiconductor package structure and method of manufacturing a semiconductor package structure are provided. The semiconductor package structure includes a connection layer formed on a metal base layer, at least one die unit formed on the connection layer, a metal pillar connecting the metal base layer and surrounding the die unit, and an interconnect structure overlaid onto the die unit and the metal pillar. Each die unit comprises at least one die attached onto the connection layer and surrounded by a molding structure. The interconnect structure includes a first interconnect layer overlaid onto the die unit and the metal pillar and a second interconnect layer formed on the first interconnect layer. The first and second interconnect layers comprise first and second metal layers being parallel with the top surface of the die unit. A projection of the metal layers overlaps an upper surface of the die.
    Type: Grant
    Filed: June 18, 2021
    Date of Patent: October 31, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Yang-Che Chen, Chen-Hua Lin, Victor Chiang Liang, Huang-Wen Tseng, Chwen-Ming Liu
  • Patent number: 11790145
    Abstract: Implementations of the present disclosure provide coloring methods that sort and pre-color nodes of G0-linked networks in a multiple-patterning technology (MPT)-compliant layout design by coordinate. In one embodiment, a method includes identifying target networks in a circuit layout, each target network having two or more linked nodes representing circuit patterns, and each target network being presented in an imaginary X-Y coordinate plane, assigning a first feature to a first node in each target network, the first node is determined using a coordinate-based method, and assigning the first feature and a second feature to remaining nodes in each target network in an alternating manner so that any two immediately adjacent linked nodes in each target network have different features.
    Type: Grant
    Filed: June 29, 2022
    Date of Patent: October 17, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chia-Ping Chiang, Ming-Hui Chih, Chih-Wei Hsu, Ping-Chieh Wu, Ya-Ting Chang, Tsung-Yu Wang, Wen-Li Cheng, Hui En Yin, Wen-Chun Huang, Ru-Gun Liu, Tsai-Sheng Gau
  • Patent number: 11782418
    Abstract: The disclosure relates to a method for the modular adjustment of a programmable controller. A base run-time system is provided. Unambiguous references having a determined sequence are defined in the base run-time system. At least one function object is provided with one or several methods to be carried out and at least one function pointer to one or several of the methods. Each function pointer is linked to a defined unambiguous reference. At least one provided function object is executed on the basis of the linked unambiguous references.
    Type: Grant
    Filed: November 6, 2020
    Date of Patent: October 10, 2023
    Assignee: Robert Bosch GmbH
    Inventor: Thomas Schroeder
  • Patent number: 11770905
    Abstract: Disclosed are a method, system and device for manufacturing a printed circuit board, and a computer storage medium. The method comprises: acquiring a printed circuit board to be manufactured which includes a via hole; acquiring shape information of the via hole; acquiring connection information of circuit layers in said printed circuit board; assembling preset conducting devices according to the connection information and the shape information, so as to obtain a target conducting device that matches the connection information and the shape information; guiding the target conducting device into the via hole to obtain a conducting printed circuit board; and connecting the conducting printed circuit board to obtain a target printed circuit board, wherein the types of the preset conducting devices comprise a metal conducting device, a non-metal conducting device and a semi-metal conducting device.
    Type: Grant
    Filed: February 23, 2021
    Date of Patent: September 26, 2023
    Assignee: INSPUR SUZHOU INTELLIGENT TECHNOLOGY CO., LTD.
    Inventor: Dong Zhou
  • Patent number: 11768663
    Abstract: Approaches for logic compaction include inputting an optimization directive that specifies one of area optimization or speed optimization to a synthesis tool executing on a computer processor. The synthesis tool identifies a multiplier and/or an adder specified in a circuit design and synthesizing the multiplier into logic having LUT-to-LUT connections between LUTs on separate slices of a programmable integrated circuit (IC) in response to the optimization directive specifying speed optimization. The synthesis tool synthesizes the multiplier and/or adder into logic having LUT-carry connections between LUTs and carry logic within a single slice of the programmable IC in response to the optimization directive specifying area optimization. The method includes implementing a circuit on the programmable IC from the logic having LUT-carry connections in response to the optimization directive specifying area optimization.
    Type: Grant
    Filed: September 8, 2020
    Date of Patent: September 26, 2023
    Assignee: XILINX, INC.
    Inventors: Srijan Tiwary, Aman Gayasen
  • Patent number: 11755814
    Abstract: A method for determining a training pattern in a layout patterning process. The method includes generating a plurality of features from patterns in a pattern set; grouping the patterns in the pattern set into individual groups based on similarities in the plurality of generated features; and selecting representative patterns from the individual groups to determine the training pattern. In some embodiments, the method is a method for training a machine learning model in a layout patterning process. The method may include, for example, providing representative patterns from the individual groups to the machine learning model to train the machine learning model to predict a continuous transmission mask (CTM) map for optical proximity correction (OPC) in the layout patterning process.
    Type: Grant
    Filed: January 10, 2020
    Date of Patent: September 12, 2023
    Assignee: ASML NETHERLANDS B.V.
    Inventor: Wei-jie Chen
  • Patent number: 11630703
    Abstract: A computing device is provided, including a cluster update accelerator circuit configured to receive signals encoding a combinatorial cost function of a plurality of variables and a connectivity graph for the combinatorial cost function. In an energy sum phase, the cluster update accelerator circuit may determine a respective plurality of accumulated energy change values for the combinatorial cost function based at least in part on the connectivity graph. In an update phase, the cluster update accelerator circuit may determine a respective update indicator bit for each accumulated energy change value. In an encoder phase, based on the plurality of update indicator bits, the cluster update accelerator circuit may select a largest update-indicated cluster of the variables included in the connectivity graph. The cluster update accelerator circuit may output an instruction to update the variables included in the largest update-indicated cluster.
    Type: Grant
    Filed: January 15, 2020
    Date of Patent: April 18, 2023
    Assignee: Microsoft Technology Licensing, LLC
    Inventors: Christopher Anand Pattison, Helmut Gottfried Katzgraber, Matthias Troyer
  • Patent number: 11630396
    Abstract: A method for calibrating a process model of a patterning process. The method includes identifying a portion of the substrate that has values within a tolerance band of one or more parameters (e.g., CD, EPE, etc.) of the patterning process, obtaining, via a metrology tool, metrology data corresponding to the portion of the substrate, processing the metrology data, and calibrating a process model based on the processed metrology data.
    Type: Grant
    Filed: July 24, 2019
    Date of Patent: April 18, 2023
    Assignee: ASML NETHERLANDS B.V.
    Inventor: Jun Chen
  • Patent number: 11630936
    Abstract: This invention relates to a robust optimal design method for photovoltaic cells. Firstly, the deterministic optimal model is established, which is solved by Monte Carlo method to obtain the maximum output power value of optimization objective and its corresponding design variable value, and then the design variable value obtained from deterministic optimization is deemed as the initial point of the mean value of the robust optimal design variable. Later, the robust optimal model is solved by Monte Carlo method in order to obtain the mean value of design variable, and then appropriate materials and manufacturing techniques are selected for corresponding photovoltaic components according to the design variable obtained, so as to achieve the robust optimal design of photovoltaic cells. In fact, this invention improves the output stability and reliability of photovoltaic cells.
    Type: Grant
    Filed: July 7, 2021
    Date of Patent: April 18, 2023
    Assignee: Northwestern Polytechnical University
    Inventors: Feng Zhang, Mingying Wu, Xu Zhang, Dongyue Wang, Xiayu Xu, Lei Cheng
  • Patent number: 11616055
    Abstract: A method of forming an integrated circuit includes generating a first and second standard cell layout design, and manufacturing the integrated circuit based on at least the first or second standard cell layout design. The first standard cell layout design has a first height. The second standard cell layout design has a second height different from the first height. The second standard cell layout design is adjacent to the first standard cell layout design. Generating the first standard cell layout design includes generating a first set of pin layout patterns extending in a first direction, being on a first layout level, and having a first width. Generating the second standard cell layout design includes generating a second set of pin layout patterns extending in the first direction, being on the first layout level, and having a second width different from the first width.
    Type: Grant
    Filed: November 11, 2020
    Date of Patent: March 28, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chun-Yao Ku, Wen-Hao Chen, Kuan-Ting Chen, Ming-Tao Yu, Jyun-Hao Chang
  • Patent number: 11586982
    Abstract: A method for obtaining learned self-consistent electron density and/or derived physical quantities includes: conducting non-self-consistent (NSC) calculation to generate a first NSC dataset X1 from a first plurality of configurations of atoms; conducting self-consistent (SC) calculation to generate a first SC dataset Y1 from the first plurality of configurations of atoms; mapping the first NSC dataset X1 to the first SC dataset Y1 utilizing machine learning algorithm to generate a mapping function F; and generating a learned self-consistent data Y2 from a new NSC data X2 utilizing the mapping function F.
    Type: Grant
    Filed: February 21, 2020
    Date of Patent: February 21, 2023
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Ganesh Hegde
  • Patent number: 11573624
    Abstract: In some embodiments, a system comprises a microcontroller system comprising a CPU, an I/O module, and a microcontroller system power input, a power supply comprising a first power supply output providing power at a first power level, and a second power supply output providing power at a second power level, and a switch comprising a signal input communicatively coupled to the I/O module and configured to receive a status signal from the I/O module, a first switch power input electrically coupled to the first power supply output, a second switch power input electrically coupled to the second power supply output, and a switch power output electrically coupled to the microcontroller system power input and configured to output power to the microcontroller system.
    Type: Grant
    Filed: June 8, 2022
    Date of Patent: February 7, 2023
    Assignee: Ambiq Micro, Inc.
    Inventors: Ivan Bogue, Yousof Mortazavi, Jesse Coulon, Rajeev Srivastava
  • Patent number: 11575511
    Abstract: The invention relates to distributed ledger technologies such as consensus-based blockchains. Computer-implemented N methods for reducing arithmetic circuits derived from smart contracts are described. The invention is implemented using a blockchain network, which may be, for example, a Bitcoin blockchain. A set of conditions encoded in a first programming language is obtained. The set of conditions is converted into a programmatic set of conditions encoded in a second programming language. The programmatic set of conditions is precompiled into precompiled program code. The precompiled program code is transformed into an arithmetic circuit. The arithmetic circuit is reduced to form a reduced arithmetic circuit, and the reduced arithmetic circuit is stored.
    Type: Grant
    Filed: October 29, 2018
    Date of Patent: February 7, 2023
    Assignee: nChain Licensing AG
    Inventors: Alexandra Covaci, Simone Madeo, Patrick Motylinski, Stephane Vincent
  • Patent number: 11574094
    Abstract: A method designs nuclear reactors using design variables and metric variables. A user specifies ranges for the design variables and threshold values for the metric variables and selects design parameter samples. For each sample, the method runs three processes, which compute metric variables for thermal-hydraulics, neutronics, and stress. The method applies a cost function to compute an aggregate residual of the metric variables compared to the threshold values. The method deploys optimization methods, either training a machine learning model using the samples and computed aggregate residuals, or using genetic algorithms, simulated annealing, or differential evolution. When using Bayesian optimization, the method shrinks the range for each design variable according to correlation between the respective design variable and estimated residuals using the machine learning model. These steps are repeated until a sample having a smallest residual is unchanged for multiple iterations.
    Type: Grant
    Filed: June 8, 2020
    Date of Patent: February 7, 2023
    Assignee: BWXT Advanced Technologies LLC
    Inventors: Ross Evan Pivovar, Ryan Trigg Swanson
  • Patent number: 11556881
    Abstract: One embodiment provides a method, including: obtaining at least one video capturing images of a writing capture device used during a business process design session, wherein the images comprise portions of the process flow; obtaining at least one audio recording corresponding to the business process design session; identifying an intended business process model shape; determining at least one business process model shape missing from the process flow provided on the writing capture device; identifying a task dependency for pairs of business process model shapes; and generating a business process model from (i) the intended business process model shapes, (ii) the at least one business process model shape missing from the process flow, and (iii) the identified task dependencies.
    Type: Grant
    Filed: April 23, 2019
    Date of Patent: January 17, 2023
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Giriprasad Sridhara, Neelamadhav Gantayat, Sampath Dechu, Gargi Banerjee Dasgupta
  • Patent number: 11537775
    Abstract: A system and method for providing timing and placement co-optimization for engineering change order (ECO) cells is described. According to one embodiment, an ECO for a current design of an integrated circuit is accessed. The ECO includes inserting an ECO cell among placed and routed current cells of the current design. A target region in the current design is identified for placement of the ECO cell, but the target region has insufficient open space to place the ECO cell. At least one current cell will have to be moved in order to place the ECO cell in the target region. Timing slacks for current cells in a neighborhood of the target region are determined. Based on the timing slacks of the current cells, at least one of the current cells is moved to a different location to create sufficient open space to place the ECO cell within the target region.
    Type: Grant
    Filed: February 16, 2021
    Date of Patent: December 27, 2022
    Assignee: Synopsys, Inc.
    Inventor: Nahmsuk Oh
  • Patent number: 11531063
    Abstract: According to one embodiment, a design support device executes a first processing. The first processing includes setting a control value group for a semiconductor element. The semiconductor element includes gates including first and second gates. The control value group includes a first time difference between first and second timings. A voltage is applied to the first gate at the first timing. A voltage is applied to the second gate at the second timing. The first processing includes calculating a characteristic value from an output result when an electrical signal corresponding to the control value group is input to the semiconductor element. The first processing includes calculating a first function from history data including not less than one data set. The data set includes the control value group and a score based on the characteristic value. The design support device sets a new control value group.
    Type: Grant
    Filed: August 25, 2021
    Date of Patent: December 20, 2022
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Tatsunori Sakano
  • Patent number: 11531803
    Abstract: A static timing analysis system for finding and reporting timing violations in a digital circuit design prior to circuit fabrication, and associated methods, use exhaustive path-based analysis (EPBA) that is informed by infinite-depth path-based analysis (IPBA) to provide analysis results that are driven full-depth, in contrast to conventional EPBA systems and methods, which can terminate after reaching a maximum depth of analysis as a way of avoiding prolonged or infinite runtimes. The IPBA-driven full-depth EPBA functions for hold-mode as well as setup-mode analysis and achieves reduced pessimism as compared to systems or methods employing IPBA alone, and more complete analysis of designs as compared to systems or methods employing EPBA alone. Improved IPBA signal merging using multidimensional zones for thresholding of signal clustering mitigates the occasional optimism of IPBA.
    Type: Grant
    Filed: April 16, 2021
    Date of Patent: December 20, 2022
    Assignee: CADENCE DESIGN SYSTEMS, INC.
    Inventors: Umesh Gupta, Naresh Kumar, Marut Agarwal, Rakesh Agarwal
  • Patent number: 11527527
    Abstract: Provided is a tap cell including a substrate, a first well, a second well, a first doped region, and the second doped region. The substrate has a first region and a second region. The first well has a first dopant type and includes a first portion disposed in the first region and a second portion extending into the second region. The second well has a second dopant type and includes a third portion disposed in the second region and a fourth portion extending into the first region. The first doped region having the first dopant type is disposed in the second portion of the first well and the third portion of the second well along the second region. The second doped region having the second dopant type is disposed in the first portion of the first well and the fourth portion of the second well along the first region.
    Type: Grant
    Filed: May 21, 2020
    Date of Patent: December 13, 2022
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yung-Feng Chang, Bao-Ru Young, Tung-Heng Hsieh, Chun-Chia Hsu
  • Patent number: 11520369
    Abstract: Techniques described herein address these and other issues by utilizing two or more sensors to take temperature measurements from which a temperature-differential or instantaneous temperature rate-of-change, can be determined. In turn, this can be used to make a highly accurate model of the relationship between the temperature, temperature-differential, and clock circuitry frequency, to accurately estimate the frequency rate-of-change for frequency correction/compensation.
    Type: Grant
    Filed: February 4, 2020
    Date of Patent: December 6, 2022
    Assignee: QUALCOMM Incorporated
    Inventors: Robert Dale Thrasher, Jordan Cookman, Duong Hoang
  • Patent number: 11507124
    Abstract: A method (and system) includes receiving, at a computing device including a design tool application, design parameters indicative of a plurality of power supply loads to be powered. The method further includes generating power supply solutions that do not include multi-channel voltage regulators and generating power supply solutions that do include multi-channel voltage regulators. The method also includes ranking all power supply solutions and providing the ranked power supply solutions to a user.
    Type: Grant
    Filed: December 6, 2019
    Date of Patent: November 22, 2022
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Dien Mac, Satyanandakishore V. Vanapalli, Jeffrey Perry, Wanda C. Garrett, Jonathan J. Arzadon
  • Patent number: 11501044
    Abstract: Embodiments include herein are directed towards a method for use in an electronic design environment is provided. Embodiments may include receiving a netlist associated with an electronic design and performing genetic optimization on a portion of the netlist to identify and place one or more capacitors on a printed circuit board to minimize an impedance associated with a power plane. Embodiments may further include displaying, at a graphical user interface, a placement of the one or more capacitors, wherein the placement is based upon, at least in part, the performing.
    Type: Grant
    Filed: December 23, 2020
    Date of Patent: November 15, 2022
    Assignee: Cadence Design Systems, Inc.
    Inventors: Shirin Farrahi, Yang Lu
  • Patent number: 11489516
    Abstract: A deskew circuit for a differential signal is provided. A first common mode voltage generating circuit generates a first common mode voltage signal according to first and second differential input signals. A voltage buffer circuit is coupled to the first common mode voltage generating circuit and has an input impedance higher than a preset value, and buffers the first common mode voltage signal and the first and second differential input signals to generate a second common mode voltage signal, a third differential input signal, and a fourth differential input signal. A second common mode voltage generating circuit is coupled to the voltage buffer circuit and generates a third common mode voltage signal according to the third and fourth differential input signals. An output circuit generates a deskew output signal according to the third and fourth differential input signals and the second and third common mode voltage signals.
    Type: Grant
    Filed: November 26, 2021
    Date of Patent: November 1, 2022
    Assignee: ALi Corporation
    Inventors: Ming-Ta Lee, Ching-Chung Cheng
  • Patent number: 11475168
    Abstract: Various examples are provided related to power side-channel vulnerability assessment. In one example, a method includes identifying target registers in an IC design; generating input patterns associated with a target function that can generate a power difference in the target registers when processing the target function; determining a side-channel vulnerability (SCV) metric using the power difference produced by the input patterns; and identifying a vulnerability in the IC design using the SCV metric. Identification of the vulnerability allows for modification of the IC design at an early stage, which can avoid power side-channel attacks (e.g., DPA and CPA) in the fabricated IC design. The method can be used for pre-silicon power side-channel leakage assessment of IC designs such as, e.g., cryptographic and non-cryptographic circuits.
    Type: Grant
    Filed: July 23, 2019
    Date of Patent: October 18, 2022
    Assignee: UNIVERSITY OF FLORIDA RESEARCH FOUNDATION, INC.
    Inventors: Mark M. Tehranipoor, Adib Nahiyan, Domenic J. Forte, Jungmin Park
  • Patent number: 11416665
    Abstract: A power rail design method is disclosed that includes the steps outlined below. A plurality of power rails and a plurality of power domains corresponding thereto in an integrated circuit design file are identified. A design rule check for a plurality of circuit units in the integrated circuit design file is performed to retrieve a plurality of non-violating circuit regions that correspond to the power rails in each of the power domains. The power rails corresponding to at least part of the plurality of non-violating circuit regions in the integrated circuit design file are widened to occupy at least part of the non-violating circuit regions for the plurality of power rails.
    Type: Grant
    Filed: October 22, 2020
    Date of Patent: August 16, 2022
    Assignee: REALTEK SEMICONDUCTOR CORPORATION
    Inventors: Cheng-Chen Huang, Yun-Ru Wu, Hsin-Chang Lin, Shu-Yi Kao, Chih-Chan Chen, Chia-Jung Hsu, Li-Yi Lin
  • Patent number: 11386974
    Abstract: A non-volatile memory device includes a memory cell array including a plurality of memory blocks that includes a plurality of memory cells connected to a plurality of word lines and a plurality of bit lines, a row decoder configured to select one among the plurality of memory blocks, based on an address, a voltage generator configured to apply word line voltages corresponding to selected word lines and unselected word lines, among the plurality of word lines, page buffers connected to the plurality of bit lines and configured to read data from a memory cell connected to one among the selected word lines of the selected one among the plurality of memory blocks, and a control logic configured to control the row decoder, the voltage generator, and the page buffers.
    Type: Grant
    Filed: January 13, 2021
    Date of Patent: July 12, 2022
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sehwan Park, Jinyoung Kim, Ilhan Park, Kyoman Kang, Sangwan Nam
  • Patent number: 11379655
    Abstract: A system serializing and deserializing models configured to (i) store a first model, wherein the first model includes a plurality of functionalities; (ii) generate a human-readable document based on the first model, wherein the human-readable document describes the first model; (iii) generate a second model based on the human-readable document, wherein the second model includes the plurality of functionalities; and (iv) perform at least one execution of the second model.
    Type: Grant
    Filed: October 16, 2017
    Date of Patent: July 5, 2022
    Assignee: BlueOwl, LLC
    Inventors: Kenneth Jason Sanchez, Michael Kim
  • Patent number: 11354471
    Abstract: Automated circuit generation is disclosed. In some embodiments, parameters are received and a circuit schematic is generated automatically by software. In some embodiment, parameters are received and a circuit layout is generated automatically by software. In some embodiments, a design interface may be used to create a behavioral model of a circuit. Software may generate a circuit specification to generate a schematic. In various embodiments, circuit component values may be determined and generated. Certain embodiments pertain to automating layout of circuits. Software may receive parameters for functional circuit components and generate a circuit schematic and/or a layout. The present techniques are particularly useful for automatically generating analog circuits.
    Type: Grant
    Filed: May 28, 2020
    Date of Patent: June 7, 2022
    Assignee: CELERA INC.
    Inventors: Calum MacRae, Karen Mason, John Mason, Richard Philpott
  • Patent number: 11281831
    Abstract: An information processing device includes: a memory; a processor coupled to the memory and configured to: perform, based on input descriptions of a first circuit module that performs a first task and a second circuit module that receive data output from the first circuit module and performs a second task, high-level synthesis of the first circuit module and the second circuit module; synthesize an interface circuit that includes a memory that performs data transfer between the circuit modules based on write information of the data and read information of the data; calculate a minimum operation start interval of the interface circuit based on the write information of the data and the read information of the data; and provide, when the calculated minimum operation start interval is larger than a minimum operation start intervals of the first circuit module and the second circuit module, a storage element in the interface circuit.
    Type: Grant
    Filed: September 4, 2019
    Date of Patent: March 22, 2022
    Assignee: FUJITSU LIMITED
    Inventor: Yoshinori Tomita
  • Patent number: 11271010
    Abstract: Multi version library cell handling and integrated circuit structures fabricated therefrom are described. In an example, an integrated circuit structure includes a plurality of gate lines parallel along a first direction of a substrate and having a pitch along a second direction orthogonal to the first direction. A first version of a cell type is over a first portion of the plurality of gate lines, the first version of the cell type including a first plurality of interconnect lines having a second pitch along the second direction, the second pitch less than the first pitch.
    Type: Grant
    Filed: September 20, 2017
    Date of Patent: March 8, 2022
    Assignee: Intel Corporation
    Inventors: Ranjith Kumar, Quan Shi, Mark T. Bohr, Andrew W. Yeoh, Sourav Chakravarty, Barbara A. Chappell, M. Clair Webb
  • Patent number: 11200290
    Abstract: A method for user-oriented information search and information gathering using a backend system and a frontend system includes: providing a search query element to the frontend system; generating, initializing, or instantiating an information queue element related to at least one type of relevant information element associated with the search query element; feeding the content of the information queue element to at least one queue consumer element related to the information queue element, wherein potentially relevant information elements are gathered or searched by the at least one queue consumer element related to the information queue element; and feeding the potentially relevant information elements to a processing element. The processing element, by using information stored in a database element, performs a validation on the potentially relevant information elements to obtain relevant information elements.
    Type: Grant
    Filed: January 15, 2018
    Date of Patent: December 14, 2021
    Assignee: DEUTSCHE TELEKOM AG
    Inventors: Mohamad Sbeiti, Daniel Sayk, Bernd Weltermann, Mathias Kloth
  • Patent number: 11158624
    Abstract: The present disclosure relates to semiconductor structures and, more particularly, to unitary Cascode cells with resistance and capacitance optimization, and methods of manufacture. The structure includes a common source FET (CS-FET) in a first portion of a single common semiconductor region, the CS-FET comprising a source region and a drain region, a common gate FET (CG-FET) in a second portion of the single common semiconductor region, the CG-FET comprising a source region and a drain region, and a doped connecting region of the single common semiconductor region, connecting the drain of the CS-FET and the source of the CG-FET.
    Type: Grant
    Filed: April 24, 2020
    Date of Patent: October 26, 2021
    Assignee: GLOBALFOUNDRIES U.S. INC.
    Inventors: Wenjun Li, Chen Perkins Yan, Tamilmani Ethirajan, Cole E. Zemke
  • Patent number: 11144701
    Abstract: A virtual fabrication environment for semiconductor device fabrication that includes an analytics module for performing key parameter identification, process model calibration and variability analysis is discussed.
    Type: Grant
    Filed: June 18, 2018
    Date of Patent: October 12, 2021
    Assignee: Coventor, Inc.
    Inventors: William J. Egan, Kenneth B. Greiner, David M. Fried, Anshuman Kunwar
  • Patent number: 11093672
    Abstract: A method for implementing physical optimizations includes performing physical optimizations on a first reference version of a design, maintaining a computer-readable list of the physical optimizations, and during a subsequent compile for a second version of the design: identifying matching cells, nets, or both between the first reference version of the design and the second version of the design; and restoring at least a subset of the physical optimizations in the second version of the design by reading the computer-readable list of the physical optimizations and applying the subset to a computer-readable description of the second version of the design.
    Type: Grant
    Filed: April 27, 2020
    Date of Patent: August 17, 2021
    Assignee: Altera Corporation
    Inventors: Junaid Asim Khan, Gabriel Quan, Ketan Padalia, Scott James Brissenden, Ryan Fung
  • Patent number: 11087063
    Abstract: A method (of revising an initial layout diagram of a wire routing arrangement) includes: identifying, in a first conductance layer of the initial layout diagram, a routed pattern and a dummy pattern, each of which extends in a first direction; the routed patterns being functional in a representation of a circuit; the dummy patterns being non-functional in the representation of the circuit; and revising to form a revised layout diagram, the revising including adding first and second jumper patterns, into a second conductance layer, which extend in a second direction substantially perpendicular to the first direction, and adding via patterns, into an interconnection layer between the first and second conductance layers, which represent (A) connections between the first jumper pattern and first ends of the corresponding routed and dummy patterns, and (B) connections between the second jumper pattern and second ends of the corresponding routed and dummy patterns.
    Type: Grant
    Filed: May 7, 2019
    Date of Patent: August 10, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Ritesh Kumar, Chung-Hsing Wang, Kuo-Nan Yang, Hiranmay Biswas, Shu-Yi Ying
  • Patent number: 10936473
    Abstract: Novel tools and techniques are provided for implementing green software applications and/or certifying software applications with a green applications efficiency (“GAE”) rating. Implementing green software applications might include performing performance tests of a software application, measuring power consumption of one or more hardware components, in response to execution of the software application during the one or more performance tests, generating a power consumption profile for the software application based on the measure power consumption, and tuning the software application such that power consumption of the one or more hardware components matches a power load caused by execution of the software application, based at least in part on the power consumption profile for the software application.
    Type: Grant
    Filed: November 8, 2016
    Date of Patent: March 2, 2021
    Assignee: CenturyLink Intellectual Property LLC
    Inventors: Vishak Shanmugam Pillai, Darshan Sonbarse, Viswanath Seetharam, Manoj U P
  • Patent number: 10902173
    Abstract: A method of manufacturing an integrated circuit in which a semiconductor device is provided includes simulating electrical characteristics of the semiconductor device according to a received process variable, by using a model parameter file including a plurality of model parameters, generating semiconductor device layout data based on a result of the simulation, and manufacturing the integrated circuit according to a semiconductor device layout based on the semiconductor device layout data, wherein the plurality of model parameters are stored in the model parameter file in a form of at least one function regarding the process variable.
    Type: Grant
    Filed: June 12, 2019
    Date of Patent: January 26, 2021
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventor: Yo-han Kim
  • Patent number: 10892637
    Abstract: A power supply covering both power sharing and power backup functions run in a more efficient and flexible way. The power supply adopts a power sharing converter coupled between a first bus terminal and a second bus terminal, so that if one of the bus terminals provides insufficient power, the other bus terminal kicks in by way of the power sharing converter to provide power support. In addition, a storage capacitor may also kick in to provide power support if one of the bus terminals provide insufficient power via or not via the power sharing converter.
    Type: Grant
    Filed: April 1, 2019
    Date of Patent: January 12, 2021
    Assignee: Monolithic Power Systems, Inc.
    Inventors: Ming Lu, Pengjie Lai, Jian Jiang
  • Patent number: 10855174
    Abstract: A power supply covering power sharing and overshoot preventing functions. In the power supply, a first eFuse is used to deliver a first input power source to a first load at a first bus terminal, and a second eFuse is used to deliver a second input power source to a second load at a second bus terminal. When the current flowing through one eFuse hits its current limit threshold, the other input power source kicks in to provide power support. The current limit threshold of each eFuse is set to be lower than its maximum rated current.
    Type: Grant
    Filed: April 9, 2019
    Date of Patent: December 1, 2020
    Assignee: Monolithic Power Systems, Inc.
    Inventors: Ming Lu, Pengjie Lai, Jian Jiang
  • Patent number: 10808333
    Abstract: A method and system for designing an integrated circuit layout are disclosed. In one embodiment, the method includes generating a stem cell library with stem cell layouts, wherein each stem cell layout includes an analog core area where a device element resides, and abutment boundaries on left, right, top, and bottom sides of the analog core area. The method also includes mapping device elements in a schematic netlist to the stem cell layouts in the stem cell library. In addition, the method includes placing and routing the mapped device elements to optimize a layout for the schematic netlist.
    Type: Grant
    Filed: January 8, 2019
    Date of Patent: October 20, 2020
    Assignee: Totic Technology Inc.
    Inventors: Choshu Ito, Dan Bui