Patents Represented by Attorney, Agent or Law Firm William J. Stoffel
  • Patent number: 6495200
    Abstract: A method of for electroless copper deposition using a Pd/Pd acetate seeding layer formed in using only two components (Pd acetate and solvent) to form an interconnect for a semiconductor device. The invention has two preferred embodiments. The first embodiment forms a Key seed layer composed of Pd/Pd acetate by a spin-on or dip process for the electroless plating of a Cu plug. The second embodiment forms a Pd passivation cap layer over the Cu plug to prevent the Cu plug from oxidizing.
    Type: Grant
    Filed: December 7, 1998
    Date of Patent: December 17, 2002
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Lap Chan, Fong Yau Li, Hou Tee Ng
  • Patent number: 6495446
    Abstract: A method and structure for a device with a signal line over a semiconductor structure where the signal line is formed over the ground plane, passivation layer, and polyimide layer. We provide a semiconductor structure comprising a substrate having devices formed thereover and a plurality of insulating and conductive layers thereover. Next, we form ground plane over the semiconductor structure. The ground plane is the top metal layer over an inter metal dielectric layer. We then form a passivation layer over the ground plane. We form a first dielectric (e.g., polyimide) layer over the passivation layer. Subsequently, we form a signal line over the first dielectric layer. The signal line is formed by a plating or printing. We form a second dielectric layer (e.g., polyimide) over the signal line and the first dielectric layer.
    Type: Grant
    Filed: January 29, 2001
    Date of Patent: December 17, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chaochieh Tsai, Shyhchyi Wong
  • Patent number: 6492205
    Abstract: A structure and a method for forming cells in power line areas between macro cell in a macro block area. In a power line level, a pin is formed between VSS and VDD lines. The pin is connected to the buffer cell. Next a signal line layer is formed and the signal line is connected to the pin and to a driver. In a first embodiment the driver is formed in a standard cell area. In a second embodiment the driver is formed in a micro cell. A signal line is connected to the pin and the driver.
    Type: Grant
    Filed: December 26, 2000
    Date of Patent: December 10, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Louis Chao-Chiuan Liu, Chien-Wen Chen
  • Patent number: 6475810
    Abstract: A new method of forming a dual damascene interconnect structure, wherein damage of interconnect and contamination of dielectrics during etching is minimized by having an embedded organic stop layer over the lower interconnect and later etching the organic stop layer with an H2 containing plasma, or hydrogen radical.
    Type: Grant
    Filed: August 10, 2000
    Date of Patent: November 5, 2002
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Mei Sheng Zhou, John Leonard Sudijono, Subhash Gupta, Sudipto Ranendra Roy, Paul Kwok Keung Ho, Yi Xu, Simon Chooi, Yakub Aliyu
  • Patent number: 6472697
    Abstract: A method of manufacturing conductive lines that are thicker (not wider) in the critical paths areas. We form a plurality of first level conductive lines over a first dielectric layer. The first conductive lines run in a first direction. The first level conductive lines are comprised of a first level first conductive line and a second first level conductive line. We form a second dielectric layer over the first level conductive lines and the first dielectric layer. Next, we form a via opening in the second dielectric layer over a portion of the first level first conductive line. A plug is formed filling the via opening. We form a trench pattern in the second dielectric layer. The trench pattern is comprised of trenches that are approximately orthogonal to the first level conductive lines. We fill the trenches with a conductive material to form supplemental second lines. We form second level conductive lines over the supplemental second lines and the plug.
    Type: Grant
    Filed: May 8, 2002
    Date of Patent: October 29, 2002
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Yeow Kheng Lim, Randall Cher Liang Cha, Alex See, Wang Ling Goh, Victor Seng Keong Lim
  • Patent number: 6472335
    Abstract: The present invention provides a method improving the adhesion between inter metal dielectric (IMD) layers by performing a HF dip etch to treat the surface of an oxide, silicon nitride or Silicon oxynitride insulating layer before an overlying low-K layer is formed. The present invention provides a method of fabricating a low-K IMD layer 20 over an oxide, Silicon oxynitride (SiON), or nitride IMD layer 14 with improved adhesion. First, a 1st inter metal dielectric (IMD) layer 14 is formed over a substrate. Next, the invention's novel HF dip etch is performed on the 1st IMD layer 14 to form a treated surface 16. Next, a 2nd BMD layer composed of a low-K material is formed over the rough surface 16 of the 1st IMD layer 14. The treated surface 16 improves the adhesion between a 1st IMD layer oxide (oxide, SiN or SiON) and a low k layer. Subsequent photoresist strip steps do not cause the 1st IMI layer 14 and the 2nd IMD layer 20 (low-K dielectric) to peel.
    Type: Grant
    Filed: October 19, 1998
    Date of Patent: October 29, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chia-Shiung Tsai, Yao-Yi Cheng, Hun-Jan Tao
  • Patent number: 6468880
    Abstract: A method to form a silicon on insulator (SOI) device using wafer bonding. A first substrate is provided having an insulating layer over a first side. A second substrate is provided having first isolation regions (e.g., STI) that fill first trenches in the second substrate. Next, we bond the first and second substrate together by bonding the insulating layer to the first isolation regions and the second substrate. Then, a stop layer is formed over the second side of the second substrate. The stop layer and the second side of the second substrate are patterned to form second trenches in the second substrate. The second trenches have sidewalls at least partially defined by the isolation regions and the second trenches expose the second insulating layer. The second trenches define first active regions over the first isolation regions (STI) and define second active regions over the insulating layer. Next, the second trenches are filled with an insulator material to from second isolation regions.
    Type: Grant
    Filed: March 15, 2001
    Date of Patent: October 22, 2002
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Yeow Kheng Lim, Randall Cher Liang Cha, Alex See, Tae Jong Lee, Wang Ling Goh
  • Patent number: 6468870
    Abstract: A method of manufacturing a LDHOS transistor having a dielectric block under the gate electrode. A high voltage well, low voltage well (LV PW), and field oxide regions having bird beaks are provided in a substrate and overlay the high voltage well and the low voltage well. In a key step, a dielectric block is formed over the bird beaks of the field oxide regions. A gate is formed over the dielectric block. After this the LDMOS device is completed. The invention's dielectric block covers the bird's beaks of the field oxide regions and enhances the e-field tolerance. The invention's e-field enhancement dielectric block relieves the e-field near the bird's beak, thus increasing the breakdown voltage of the transistor.
    Type: Grant
    Filed: December 26, 2000
    Date of Patent: October 22, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chi-Hung Kao, Shih-Hui Chen, Tsung-Yi Huang, Jeng Gong, Kuo-Shu Huang
  • Patent number: 6465367
    Abstract: A structure and method of manufacturing a CMOS device where the Coplanar wave guide (CPW) lines are formed above the top metal lines. Also other insulating layers are provided that reduce the e-field from the signal line to the substrate. There are four embodiments. In the first embodiment, the following layers are formed over the semiconductor structure: the passivation layer, a shielding layer, a first insulator layer, a high K dielectric layer, a CPW and a second insulator layer. In the second embodiment, no shielding layer is used and the high k dielectric layer is thicker than in the first embodiment. In the third embodiment, a thick shielding layer is used and no high k dielectric layer. In the fourth embodiment, the top metal layer is used as a shielding layer and no high k dielectric layer is used.
    Type: Grant
    Filed: January 29, 2001
    Date of Patent: October 15, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventor: Chaochieh Tsai
  • Patent number: 6460404
    Abstract: A solvent delivery system for an edge bead removal tool (EBR) that detects N2 leaks in a solvent pressure tank that surrounds a solvent bottle. A solvent bottle is surrounded by a pressure tank. The solvent bottle contains the solvent used in the EBR tool. A N2 gas supply is connected to the pressure tank and pressurizes the inside of the pressure tank with N2 gas. A pressure sensor is connected to the pressure tank. The pressure sensor senses the N2 pressure inside of the pressure tank. The system board notifies an operator when the pressure sensor detects an unsatisfactory N2 pressure condition inside the pressure tank. The solvent bottle contains a solvent. A buffer solvent is in the solvent buffer tank. A solvent level sensor is on the solvent buffer tank. The solvent level sensor is connected to the interlock circuit. Wherein the system board notifies an operator when the solvent level sensor detects an unsatisfactory buffer solvent level in the solvent tank.
    Type: Grant
    Filed: October 12, 2000
    Date of Patent: October 8, 2002
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Soon Chye Chan, Bak Hong Chia, Chun Pheng Tan
  • Patent number: 6458689
    Abstract: A method forming a protective (SiON or PE-Ox) dielectric anti-reflective coating (DARC) over a dielectric layer after a chemical-mechanical polish dielectric layer planarization process and before a chemical-mechanical polish of a conductive layer used in a contact or via plug formation. A dielectric layer is chemical-mechanical polished thereby creating microscratches in the dielectric layer. The invention's protective SiON or PE-OX DARC layer is formed over the dielectric layer whereby the protective SiON or PE-OX DARC layer fills in the microscratches. A first opening is etched in he protective layer and the dielectric layer. A conductive layer is formed over the protective layer and fills the first opening. The conductive layer is chemical-mechanical polished to remove the conductive layer from over the protective layer and to form an interconnect filling the first opening. The protective SiON or PE-OX DARC layer is used as a CMP stop thereby preventing microscratches in the dielectric layer.
    Type: Grant
    Filed: March 28, 2001
    Date of Patent: October 1, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chen-Hua Yu, Syun-Ming Jang, Tsu Shih, Anthony Yen, Jih-Chuyng Twu
  • Patent number: 6448649
    Abstract: The present invention provides a structure and a method of electrically connecting wiring layers by forming a stacked plug interconnect. The first wiring layer is formed over a dielectric layer and a top barrier layer is formed over the top of the first wire layer. Next, first sidewall spacers preferably composed of titanium nitride and tungsten are formed on the first wire layer sidewalls. An inter metal dielectric layer is formed over the surface. A via is then etched exposing the first wiring layer. The first titanium nitride/tungsten spacers act as an etch stop for the via etch and also increase the contact area of the wiring layers. A tungsten plug with an outer TiN barrier layer is formed filling the via contacting the first wiring layer. On top of the tungsten plug, a second wiring layer is formed also having titanium nitride and tungsten sidewall spacers. The spacers also fill in the recesses in the TiN plug barrier layer and fill in dimples in the top of the tungsten plugs.
    Type: Grant
    Filed: December 22, 1997
    Date of Patent: September 10, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chung-Kuang Lee, Pin-Nan Tseng
  • Patent number: 6444510
    Abstract: An ESD device and method using parasitic bipolar transistors that are silicided. The first embodiment is a parasitic Bipolar Junction Transistor comprised of n+/n−/p−/n−/n+ regions. The emitter is formed of the second N+ region and the second N− well. The parasitic base is formed by the p− substrate or well. The collector is formed of the first well and the first n+ region. The benefit of the first embodiment is the trigger voltage is lower because the junction between the n− well (emitter) and P− substrate (base) and the junction between P− substrate (base) and the n− well have lower cross over concentrations. The second embodiment is similar to the first embodiment with the addition of the first gate. The first gate is preferably connected to the first n+ region and the Vpad. The third embodiment contains the same elements as the second embodiment with the addition of a third n+ region.
    Type: Grant
    Filed: December 3, 2001
    Date of Patent: September 3, 2002
    Assignee: Nano Silicon Pte. Ltd.
    Inventors: David Hu, Jun Cai
  • Patent number: 6440800
    Abstract: A method for a vertical transistor by selective epi deposition to form the conductive source, drain, and channel layers. The conductive source, drain, and channel layers are preferably formed by a selective epi process. Dielectric masks define the conductive layers and make areas to form vertical contacts to the conductive S/D and channel layers.
    Type: Grant
    Filed: January 26, 2001
    Date of Patent: August 27, 2002
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: James Yong Meng Lee, Ying Keung Leung, Yelehanka Ramachandramurthy Pradeep, Jia Zhen Zheng, Lap Chan, Elgin Quek, Ravi Sundaresan, Yang Pan
  • Patent number: 6436770
    Abstract: A method for a vertical MOS transistor whose vertical channel width can be accurately defined and controlled. Isolation regions are formed in a substrate. The isolation regions defining an active area. Then, we form a source region in the active area. A dielectric layer is formed over the active area and the isolation regions. We form a barrier layer over the dielectric layer. We form an opening in the barrier layer. A gate layer is formed in the opening. We form an insulating layer over the conductive layer and the barrier layer. We form a gate opening through the insulating layer, the gate layer and the dielectric layer to expose the source region. Gate dielectric spacers are formed over the sidewalls of the gate layer. Then, we form a conductive plug filling the gate opening. The insulating layer is removed. We form a drain region in top and side portions of the conductive plug and form doped gate regions in the gate layer. The remaining portions of the conductive plug comprise a channel region.
    Type: Grant
    Filed: November 27, 2000
    Date of Patent: August 20, 2002
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Ying Keung Leung, Yelehanka Ramachandramurthy Pradeep, Jia Zhen Zheng, Lap Chan, Elgin Quek, Ravi Sundaresan, Yang Pan, James Yong Meng Lee
  • Patent number: 6436816
    Abstract: A method with three embodiments of manufacturing metal lines and solder bumps using electroless deposition techniques. The first embodiment uses a PdSix seed layer 50 for electroless deposition. The PdSix layer 50 does not require activation. A metal line is formed on a barrier layer 20 and an adhesion layer 30. A Palladium silicide seed layer 50 is then formed and patterned. Ni, Pd or Cu is electroless deposited over the Palladium silicide layer 50 to form a metal line. The second embodiment selectively electrolessly deposits metal 140 over an Adhesion layer 130 composed of Poly Si, Al, or Ti. A photoresist pattern 132 is formed over the adhesion layer. A metal layer 140 of Cu or Ni is electrolessly deposited over the adhesion layer. The photoresist layer 132 is removed and the exposed portion of the adhesion layer 130 and the underlying barrier metal layer 120 are etched thereby forming a metal line.
    Type: Grant
    Filed: July 31, 1998
    Date of Patent: August 20, 2002
    Assignee: Industrial Technology Research Institute
    Inventors: Chwan-Ying Lee, Tzuen-Hsi Huang
  • Patent number: 6432588
    Abstract: A method of fabricating an attenuating phase-shifting photomask, comprising the following steps. A photomask blank is provided having an upper resist layer overlying a chromium layer, the chromium layer overlying a phase-shifting layer, and the phase-shifting layer over a substrate. The photomask blank having a low pattern density area with a pattern density less than 0.25, a middle pattern density area with a pattern density from about 0.25 to 0.70, and a high pattern density area with a pattern density between about 0.70 and 1.00. The photomask blank is exposed to a first E-beam energy in a single step wherein the low pattern density area is exposed to the first E-beam energy adjusted by a first dosage factor, the middle pattern density area is exposed to the first E-beam energy adjusted by a second dosage factor, and the high pattern density area is exposed to the first E-beam energy adjusted by a third dosage factor.
    Type: Grant
    Filed: December 4, 2000
    Date of Patent: August 13, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: San-De Tzu, Ching-Shiun Chiu
  • Patent number: 6417054
    Abstract: A method for a self aligned TX with elevated source/drain (S/D) regions on an insulated layer (oxide) by forming a trench along side the STI and filling the trench with oxide. STI regions are formed in a substrate. A gate structure is formed. LDD regions are formed adjacent to the gate structure in the substrate. Spacers are formed on the sidewall of the gate structure. We etch S/D trenches between the STI regions and the first spacers. The S/D trenches are filled with a S/D insulating layer. Elevated S/D regions are formed over the S/D insulating layer and the LDD regions. A top isolation layer is formed over the STI regions. The invention builds the raised source/drain (S/D) regions on an insulating layer and reduces junction leakage and hot carrier degradation to gate oxide.
    Type: Grant
    Filed: January 26, 2001
    Date of Patent: July 9, 2002
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Jia Zhen Zheng, Lap Chan, Elgin Quek, Ravi Sundaresan, Yang Pan, James Yong Meng Lee, Ying Keung Leung, Yelehanka Ramachandramurthy Pradeep
  • Patent number: 6413885
    Abstract: A method for fabricating and patterning semiconductor devices with a resolution down to 0.12 &mgr;m on a substrate structure. The method begins by providing a substrate structure comprising various layers of oxide and/or nitride formed over either monocrystalline silicon or polycrystalline silicon. A silicon oxynitride layer is formed on the substrate structure. Key characteristics of the oxynitride layer include: a refractive index of between about 1.85 and 2.35 at a wavelength of 248 nm, an extinction coefficient of between 0.45 and 0.75 at a wavelength of 248 nm, and a thickness of between about 130 Angstroms and 850 Angstroms. A photoresist layer is formed over the silicon oxynitride layer and exposed at a wavelength of between about 245 nm and 250 nm; whereby during exposure at a wavelength of between 245 nm 250 nm, the silicon oxynitride layer provides a phase-cancel effect.
    Type: Grant
    Filed: May 29, 2001
    Date of Patent: July 2, 2002
    Assignee: Vanguard International Semiconductor Corporation
    Inventors: Liang-Gi Yao, Pin-Ting Wang
  • Patent number: 6410403
    Abstract: A method of planarizing an isolation region. Key elements of the invention include the two chemical-mechanical polish (CMP) steps and the CMP stop structure comprised of a sacrificial oxide layer and the second nitride layer. First a pad oxide layer, a first nitride layer, a sacrificial oxide layer and a second nitride layer are formed over a substrate. A trench is formed through the pad oxide layer, the first nitride layer, the sacrificial oxide layer and the second nitride layer and in the substrate. An oxide layer is deposited filling the trench and over the second nitride layer. The oxide layer is preferably formed by a high density plasma chemical vapor deposition (HDPCVD) deposition. In a first CMP step, we chemical-mechanical polish the oxide layer and the second nitride layer down to a level. The second nitride layer and the sacrificial oxide layer are then removed.
    Type: Grant
    Filed: November 2, 2000
    Date of Patent: June 25, 2002
    Assignee: ProMos Technologies, Inc.
    Inventor: Chao-Chueh Wu