Patents Examined by Christopher Young
  • Patent number: 9658525
    Abstract: The purpose of the present invention is to provide a pellicle which has, on the inner surface of the pellicle frame, a pressure-sensitive adhesive layer that has holding performance capable of satisfactorily adhering suspended substances including dust thereto and that has excellent resistance to short-wavelength light. This pellicle comprises a pellicle frame, a pellicle film stretched over the pellicle frame, and a pressure-sensitive adhesive layer disposed inside the pellicle frame, wherein the pressure-sensitive adhesive layer comprises a copolymer having structural units represented by formula (1) and structural units represented by formula (2), the amount of the copolymer being 60 mass % or more of the pressure-sensitive adhesive layer.
    Type: Grant
    Filed: July 31, 2013
    Date of Patent: May 23, 2017
    Assignee: MITSUI CHEMICALS INC.
    Inventors: Masahiro Kondou, Takamasa Tsumoto
  • Patent number: 9651858
    Abstract: A binary photomask blank has a light-shielding film on a transparent substrate, the light-shielding film composed mainly of transition metal M and Si, or M, Si and N, and having an optical density of at least 3.0. The light-shielding film includes a layer containing M, Si and N so as to meet the formula: B?0.68×A+0.23 wherein A is an atomic ratio M/Si and B is an atomic ratio N/Si, and has a thickness of up to 47 nm. The binary photomask blank has a thin light-shielding film capable of fully shielding exposure light.
    Type: Grant
    Filed: July 14, 2015
    Date of Patent: May 16, 2017
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Yukio Inazuki, Takuro Kosaka, Kazuhiro Nishikawa
  • Patent number: 9651855
    Abstract: A method of optical proximity correction (OPC) in extreme ultraviolet lithography (EUV) lithography includes providing a patterned layout design including first and second design polygons that correspond with the pre-pattern opening, wherein the first and second design polygons are separated by a separation distance, and correcting the patterned layout design using OPC by generating (1) a third polygon that has dimensions corresponding to a combination of the first and second design polygons and the separation distance and (2) and filled polygon within the third polygon, thereby generating an OPC-corrected patterned layout design. EUV photomasks may be manufactured from the OPC-corrected patterned layout design, and integrated circuits may be fabricated using such EUV photomasks.
    Type: Grant
    Filed: April 14, 2015
    Date of Patent: May 16, 2017
    Assignee: GLOBALFOUNDRIES, INC.
    Inventors: Lei Sun, Wenhui Wang, Ryan Ryoung-Han Kim
  • Patent number: 9638994
    Abstract: An Optical Proximity Correction (OPC) method is provided for compensating the Optical Proximity Effect (OPE) influence. The method include providing a substrate having at least one semiconductor structure and with a plurality of regions, providing a target pattern to be formed on the substrate, and respectively obtaining aerial image light intensity functions of the plurality of regions of the substrate. The method also includes establishing an OPC model based on the aerial image light intensity functions of the plurality of regions, and performing an OPC process to the target pattern by using the OPC model to adjust the target pattern factoring in optical effect of the plurality of regions.
    Type: Grant
    Filed: March 13, 2015
    Date of Patent: May 2, 2017
    Assignee: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
    Inventors: Renqiang Cheng, Hui Wang
  • Patent number: 9632438
    Abstract: A method of forming a pattern includes: preparing a target substrate including a photoresist layer on a base substrate; aligning a phase shift mask to the target substrate, the phase shift mask including a mask substrate comparted into a first region including a first sub region and second sub regions at sides of the first sub region, and second regions at sides of the first region, the phase shift mask including a phase shift layer on the mask substrate corresponding to the first region; fully exposing the photoresist layer at the first sub region and the second regions by utilizing the phase shift mask; and removing the photoresist layer at the first sub region and the second regions to form first and second photoresist patterns corresponding to the second sub regions. Transmittance of the phase shift layer is selected to fully expose the photoresist layer in the first sub region.
    Type: Grant
    Filed: January 23, 2015
    Date of Patent: April 25, 2017
    Assignee: Samsung Display Co., Ltd.
    Inventors: DongEon Lee, Min Kang, Bong Yeon Kim, Yong Son, Junhyuk Woo, Hyunjoo Lee, Jinho Ju
  • Patent number: 9625807
    Abstract: Provided are a mask blank for which worsening of flatness has been inhibited, a transfer mask, a method of manufacturing a mask blank, a method of manufacturing a transfer mask, and a method of manufacturing a semiconductor device using this transfer mask. The mask blank is a mask blank provided with a thin film on a main surface of a glass substrate, wherein the glass substrate has a hydrogen content of less than 7.4×1018 molecules/cm3, the thin film is made of a material containing tantalum and being substantially free of hydrogen, and the thin film is formed in contact with the main surface of the glass substrate.
    Type: Grant
    Filed: November 15, 2013
    Date of Patent: April 18, 2017
    Assignee: HOYA CORPORATION
    Inventors: Hiroaki Shishido, Atsushi Kominato, Osamu Nozawa, Toshiharu Kikuchi
  • Patent number: 9625809
    Abstract: A method and system for fracturing or mask data preparation or optical proximity correction or proximity effect correction or mask process correction is disclosed in which a set of shaped beam shots is determined that is capable of forming a pattern on a surface, where the set of shots provides different dosages to different parts of the pattern, and where the dose margin from the set of shots is calculated. A method for forming patterns on a surface is also disclosed.
    Type: Grant
    Filed: June 16, 2016
    Date of Patent: April 18, 2017
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Harold Robert Zable
  • Patent number: 9618837
    Abstract: An extreme ultraviolet (EUV) mask comprises a substrate, a first reflective layer above a surface of the substrate, and a second reflective layer over the first reflective layer. The second reflective layer has various openings that define a first state and a second state. The first state includes the first reflective layer and is free of the second reflective layer. The second state includes both the first and second reflective layers. The first state has a first reflection coefficient and a first reflectivity. The second state has a second reflection coefficient and a second reflectivity. A phase difference between the first and second reflection coefficients is about 180 degrees.
    Type: Grant
    Filed: November 7, 2016
    Date of Patent: April 11, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yen-Cheng Lu, Shinn-Sheng Yu, Jeng-Horng Chen, Anthony Yen
  • Patent number: 9618838
    Abstract: A photomask blank includes a chromium-based material film as a light-shielding film, wherein the chromium-based material film has an optical density per unit thickness at a wavelength of 193 nm of at least 0.050/nm, and the chromium-based material film has a tensile stress or compressive stress corresponding to an amount of warp of up to 50 nm. The present invention provides a photomask blank having a thin film of chromium-based material which is lowered in film stress while retaining a high optical density per unit film thickness. This enables high-accuracy patterning of a chromium-based material film.
    Type: Grant
    Filed: September 11, 2015
    Date of Patent: April 11, 2017
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Souichi Fukaya, Yukio Inazuki
  • Patent number: 9612528
    Abstract: Provided is a method of manufacturing a pellicle. The method includes preparing a substrate, forming a membrane on the substrate by performing a chemical vapor deposition (CVD) process, separating the membrane from the substrate in a first solvent, rinsing the separated membrane in a second solvent, and transferring the separated membrane to a frame in a third solvent.
    Type: Grant
    Filed: June 11, 2015
    Date of Patent: April 4, 2017
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Munja Kim, Byunggook Kim, Jaehyuck Choi
  • Patent number: 9612526
    Abstract: A photomask and method for fabricating an integrated circuit is provided. The photomask includes a plurality of main features, enclosed in at least one first region and at least one second region, wherein the first region comprises single the main feature and the second region comprises multiple the main features; and a plurality of assistant features disposed between the first region and the second region, or between the second regions. The photomask enhances the accuracy of the critical dimension and facilitate fabricating an integrated circuit.
    Type: Grant
    Filed: August 28, 2014
    Date of Patent: April 4, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chun-Yu Lin, Yi-Jie Chen, Feng-Yuan Chiu, Ying-Chou Cheng, Kuei-Liang Lu, Ya-Hui Chang, Ru-Gun Liu, Tsai-Sheng Gau
  • Patent number: 9612523
    Abstract: A reflective mask includes a substrate; a reflective multilayer formed on the substrate; an absorber layer formed on the reflective multilayer, wherein the absorber layer is patterned to have openings according to an integrated circuit layout; and a protection layer formed over the reflective multilayer within the openings.
    Type: Grant
    Filed: May 29, 2015
    Date of Patent: April 4, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Tsung Shih, Chi-Lun Lu, Jeng-Horng Chen, Chia-Chen Chen, Shinn-Sheng Yu, Anthony Yen, Wei-Hung Liu
  • Patent number: 9606452
    Abstract: A lithography metrology method is provided. Focus sensitivity data and dose sensitivity data of sample patterns to be formed on a substrate are acquired. At least one focus pattern selected in descending order of focus sensitivity from among the acquired focus sensitivity data of the sample patterns is determined. At least one low-sensitivity focus pattern in ascending order of the focus sensitivity from among the acquired dose sensitivity data of the sample patterns is selected, and at least one dose pattern selected in descending order of dose sensitivity from among the at least one low-sensitivity focus pattern is determined. A split substrate having a plurality of chip regions is prepared. A plurality of focus split patterns having a shape corresponding to the at least one focus pattern and a plurality of dose split patterns having a shape corresponding to the at least one dose pattern in the plurality of chip regions are formed.
    Type: Grant
    Filed: May 6, 2015
    Date of Patent: March 28, 2017
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Byung-Je Jung, Yong-Jin Chun, Byoung-Il Choi
  • Patent number: 9599889
    Abstract: Provided are a method of manufacturing a support frame for a pellicle, capable of forming a sufficiently blackened anodic oxide film through anodic oxidation treatment and providing a support frame for a pellicle industrially inexpensively with ease, a support frame for a pellicle obtained by the method, and a pellicle. Specifically, provided are a method of manufacturing a support frame for a pellicle to be used as a pellicle including an optical thin film, the method including: annealing an aluminum material formed of an Al—Zn—Mg based aluminum alloy; and subjecting the aluminum material to anodic oxidation treatment in an alkaline solution to form an anodic oxide film having a lightness index L* value of 40 or less, a support frame for a pellicle obtained by the method, and a pellicle including the support frame for a pellicle and an optical thin film.
    Type: Grant
    Filed: July 11, 2013
    Date of Patent: March 21, 2017
    Assignee: NIPPON LIGHT METAL COMPANY, LTD.
    Inventors: Takayuki Yamaguchi, Yoshihiro Taguchi, Akira Iizuka
  • Patent number: 9588440
    Abstract: This invention relates to a method of obtaining optimal focus for exposing a photoresist in an EUV lithography with an EUV mask containing a pattern with an assist feature. The invention also relates to an EUV mask with a special focus test target for monitoring focus in EUV lithography, and a method of fabricating this EUV mask by designing the special focus test target. The EUV mask contains a repeating pattern, wherein the repeating pattern has two different pitches, i.e. a first pitch and a second pitch, and contains an assist feature between main features. Because the two different pitches have different focus offsets, the difference between linewidths of said gratings provides a calibration curve which is a measure of focus. The method for monitoring focus is performing an EUV exposure using a focus position with a pre-determined focus position as calibrated using the linewidth difference between the two gratings.
    Type: Grant
    Filed: February 12, 2015
    Date of Patent: March 7, 2017
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Timothy Allan Brunner, Martin Burkhardt
  • Patent number: 9588413
    Abstract: Provided are a photomask and a method of correcting errors thereof. The photomask includes a multilayer reflection film covering one side surface of a substrate and an energy receiving layer covering the other side surface of the substrate. The method includes determining a local correction position on a frontside surface of the photomask according to a detected error of the photomask, and locally applying an energy beam to a backside surface region of the photomask aligned with the local correction position in a thickness direction of the photomask. The invention may be applicable to structures other than photomasks that benefit from modification of surface heights or selectively applied stress.
    Type: Grant
    Filed: September 7, 2016
    Date of Patent: March 7, 2017
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sang-hyun Kim, Seong-sue Kim, Dong-gun Lee
  • Patent number: 9581896
    Abstract: There is provided a pellicle 1 for lithography having a frame 12, a film 11 and an agglutinant layer 13 (an adhesive to bond the pellicle on a photomask), in which the agglutinant layer 13 is doped with a luminescence material so as to facilitate the inspection of the quality of the adhesion between the agglutinant layer 13 and the photomask; preferably the luminescence material is a kind that glows in response to UV irradiation, and a preferable dosage of the luminescence material is no less than 0.01 mass % but less than 1.0 mass %.
    Type: Grant
    Filed: March 16, 2015
    Date of Patent: February 28, 2017
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: Jun Horikoshi
  • Patent number: 9581893
    Abstract: A manufacturing method of a phase shift mask in an embodiment includes: forming a metal layer on a substrate, the metal layer having a first region and a second region, the first region being configured to emit secondary electrons by irradiation with electrons, the second region being configured to emit secondary electrons higher in density than the first region, by the irradiation with electrons; patterning the metal layer to form a main pattern in the first region and an alignment mark in the second region; forming a resist layer on the patterned metal layer; and aligning the substrate using a secondary electron image of the alignment mark.
    Type: Grant
    Filed: December 10, 2014
    Date of Patent: February 28, 2017
    Assignee: NUFLARE TECHNOLOGY, INC.
    Inventor: Hirohito Anze
  • Patent number: 9581894
    Abstract: A system and method for repairing a photolithographic mask is provided. An embodiment comprises forming a shielding layer over an absorbance layer on a substrate. Once the shielding layer is in place, the absorbance layer may be repaired using, e.g., an e-beam process to initiate a reaction to repair a defect in the absorbance layer, with the shielding layer being used to shield the remainder of the absorbance layer from undesirable etching during the repair process.
    Type: Grant
    Filed: August 31, 2015
    Date of Patent: February 28, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Chiang Tu, Chun-Lang Chen, Jong-Yuh Chang, Boming Hsu, Tran-Hui Shen
  • Patent number: 9581898
    Abstract: A manufacturing method of a pattern transfer mask includes the following steps. A basic mask is provided. The basic mask includes a plurality of patterns formed by a patterned absorber layer on a substrate according to a first writing layout. A photolithographic process is then performed by the basic mask to obtain individual depth of focus (iDoF) ranges of each of the patterns and a usable depth of focus (UDoF) range of the patterns. At least one constrain pattern dominating the UDoF range is selected from the patterns in the basic mask. The rest of the patterns except the constrain pattern are non-dominating patterns. A second writing layout is then generated for reducing a thickness of the patterned absorber layer in the constrain pattern or in the non-dominating patterns.
    Type: Grant
    Filed: April 14, 2015
    Date of Patent: February 28, 2017
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: En-Chiuan Liou, Chia-Hsun Tseng, Tuan-Yen Yu, Po-Tsang Chen, Yi-Ting Chen