Patents Examined by Christopher Young
  • Patent number: 9488904
    Abstract: Provided is a mask blank glass substrate that has high surface smoothness, that is formed with a fiducial mark capable of improving the detection accuracy of a defect position or the like, and that enables reuse or recycling of a glass substrate included therein. An underlayer is formed on a main surface, on the side where a transfer pattern is to be formed, of a glass substrate for a mask blank. The underlayer serves to reduce surface roughness of the main surface of the glass substrate or to reduce defects of the main surface of the glass substrate. A surface of the underlayer is a precision-polished surface. A fiducial mark which provides a reference for a defect position in defect information is formed on the underlayer.
    Type: Grant
    Filed: October 22, 2015
    Date of Patent: November 8, 2016
    Assignee: HOYA CORPORATION
    Inventors: Toshihiko Orihara, Akihiro Kawahara, Tsutomu Shoki
  • Patent number: 9482965
    Abstract: Implementations described and claimed herein include photolithography technology to alleviate the imbalance of transmission intensity induced. In one implementation, a method comprises exposing an alternating phase shift mask (Alt-PSM) and a trim mask, wherein an exposure placement of the trim mask is shifted relative to an exposure placement of the Alt-PSM.
    Type: Grant
    Filed: May 2, 2016
    Date of Patent: November 1, 2016
    Assignee: SEAGATE TECHNOLOGY LLC
    Inventors: Dan Yu, Aaron Bowser, Yi Liu
  • Patent number: 9482940
    Abstract: Provided is a photomask having a high-resolution pattern of a half-pitch of 32 nm or less (particularly, a half-pitch of 22 nm or less), which is manufactured by forming a blankmask in which a light-proof film and a hard film having a small thickness and high etch selectivity with respect to the light-proof film are formed on a transparent substrate. The photomask may have a high quality by adjusting a composition ratio of a metal, silicon (Si), and light elements that constitute the light-proof film to suppress damage to the pattern caused by an XeF2 gas in an electron-beam repair process.
    Type: Grant
    Filed: October 1, 2014
    Date of Patent: November 1, 2016
    Assignee: S&S TECH CO., LTD.
    Inventors: Kee-Soo Nam, Geung-Won Kang, Cheol Shin, Jong-Hwa Lee, Chul-Kyu Yang, Chang-Jun Kim, See-Jun Jeong, Kyu-Jin Jang
  • Patent number: 9482941
    Abstract: A mask and a manufacturing method thereof are provided. The mask comprises a body and a film layer comprising an oleophobic material and covering the surface of the body, thereby the possibility of the organic coagulation adhering to the surface of the mask is effectively reduced, and the contamination of the mask by the organic solvent and other volatile organic in the photoresist during exposure is also reduced.
    Type: Grant
    Filed: July 31, 2014
    Date of Patent: November 1, 2016
    Assignees: BOE Technology Group Co., Ltd., Beijing BOE Display Technology Co., Ltd.
    Inventors: Zhiyi Sun, Ran Zhang
  • Patent number: 9465286
    Abstract: Provided are a photomask and a method of correcting errors thereof. The photomask includes a multilayer reflection film covering one side surface of a substrate and an energy receiving layer covering the other side surface of the substrate. The method includes determining a local correction position on a frontside surface of the photomask according to a detected error of the photomask, and locally applying an energy beam to a backside surface region of the photomask aligned with the local correction position in a thickness direction of the photomask. The invention may be applicable to structures other than photomasks that benefit from modification of surface heights or selectively applied stress.
    Type: Grant
    Filed: December 9, 2014
    Date of Patent: October 11, 2016
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sang-hyun Kim, Seong-sue Kim, Dong-gun Lee
  • Patent number: 9465297
    Abstract: In a method for fracturing or mask data preparation or mask process correction for charged particle beam lithography, a plurality of shots are determined that will form a pattern on a surface, where shots are determined so as to reduce sensitivity of the resulting pattern to changes in beam blur (?f). In some embodiments, the sensitivity to changes in ?f is reduced by varying the charged particle surface dosage for a portion of the pattern. Methods for forming patterns on a surface, and for manufacturing an integrated circuit are also disclosed, in which pattern sensitivity to changes in ?f is reduced.
    Type: Grant
    Filed: May 18, 2015
    Date of Patent: October 11, 2016
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Ingo Bork
  • Patent number: 9459524
    Abstract: The embodiment of the present invention provides a mask plate and a method of patterning using the mask plate, the mask plate comprising a light shielding area and an opening area, the edge of the opening area being in a concave-convex shape. The embodiment of the present invention, by setting the edge of the opening area of the mask plate in a concave-convex shape, can improve linearity of the matrix edge formed after the processes of coating, exposing, developing, roasting of the photoresist, so as to prevent the problem of generating a jagged or a wavy shape at the matrix edge of a color filter in a color film substrate, thereby improving uniformity of color display of a liquid crystal display. The effect is particularly significant for a product using a negative photoresist or with a relatively thin line width in the formed matrix.
    Type: Grant
    Filed: October 27, 2014
    Date of Patent: October 4, 2016
    Assignees: BOE TECHNOLOGY GROUP CO., LTD., BEIJING BOE DISPLAY TECHNOLOGY CO., LTD.
    Inventor: Dong Wang
  • Patent number: 9448481
    Abstract: Techniques for reducing the number of shots required by a radiation beam writing tool to write a pattern, such as fractured layout design, onto a substrate. One or more apertures are employed by a radiation beam writing tool to write a desired pattern onto a substrate using L-shaped images, T-shaped images, or some combination of both. By reducing the number of shots required to write a pattern onto a substrate, various implementations of the invention may reduce the write time and/or write complexity of the write process.
    Type: Grant
    Filed: September 8, 2015
    Date of Patent: September 20, 2016
    Assignee: Mentor Graphics Corporation
    Inventors: Emile Y. Sahouria, Steffen Schulze
  • Patent number: 9448469
    Abstract: To provide a process for producing an EUV mask blank, whereby mixing at each interface between layers constituting a Mo/Si multilayer reflective film during a step involving heating, is suppressed, and a process for producing a reflective layer-coated substrate to be used for the production of the EUV mask blank. A process for producing a reflective layer-coated substrate for EUV lithography (EUVL), which has a step of forming a Mo/Si multilayer reflective film by alternately forming a molybdenum (Mo) layer and a silicon (Si) layer on a film-forming surface of a substrate, wherein after forming each Si layer except for a Si layer as the uppermost layer among Si layers constituting the Mo/Si multilayer reflective film, the surface of such each Si layer is exposed to a nitrogen-containing atmosphere held in a plasma state without being exposed to the air atmosphere, and then, a Mo layer is formed.
    Type: Grant
    Filed: August 21, 2014
    Date of Patent: September 20, 2016
    Assignee: Asahi Glass Company, Limited
    Inventor: Masaki Mikami
  • Patent number: 9448473
    Abstract: In the field of semiconductor production using charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, where a non-circular target pattern to be formed on a surface is input. A plurality of charged particle beam shots for a multi-beam charged particle beam system is determined, where the plurality of shots will form a pattern on the surface, each charged particle beam shot being a multi-beam shot comprising a plurality of circular or nearly-circular beamlets. The pattern on the surface matches the target pattern within a predetermined tolerance. The determining is performed using a computing hardware device.
    Type: Grant
    Filed: December 15, 2015
    Date of Patent: September 20, 2016
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Michael Tucker
  • Patent number: 9442392
    Abstract: A method of processing first and second semiconductor wafers is provided. Each of the first and second semiconductor wafers has a first layer and a second layer over the first layer. A first lithographic process is performed on the first layer over the first semiconductor wafer using a first inter-field correction and a first intra-field correction. An overlay error of the first lithographic process is determined. A second inter-field correction and a second intra-field correction are computed based on the first inter-field correction, the first intra-field correction, and the measured overlay error. A second lithographic process is performed on the second layer over the second semiconductor wafer, based on the second inter-field correction and the second intra-field correction.
    Type: Grant
    Filed: December 30, 2014
    Date of Patent: September 13, 2016
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yen-Di Tsen, Yi-Ping Hsieh, Chen-Yen Huang, Shin-Rung Lu, Jong-I Mou
  • Patent number: 9442366
    Abstract: A layout pattern and a photomask including the layout pattern are provided. The layout pattern includes a plurality of main patterns and at least one auxiliary pattern. The main patterns are arranged in parallel to one another and extend in a first direction. The at least one auxiliary pattern is located between two outermost main patterns and connects the two outermost main patterns. The at least one auxiliary pattern is arranged in a second direction. The second direction is different from the first direction.
    Type: Grant
    Filed: December 31, 2014
    Date of Patent: September 13, 2016
    Assignee: MACRONIX International Co., Ltd.
    Inventors: Chiung-Jung Tu, Chih-Hao Huang
  • Patent number: 9442393
    Abstract: A projection exposure tool for microlithography for imaging mask structures of an image-providing substrate onto a substrate to be structured includes a measuring apparatus configured to determine a relative position of measurement structures disposed on a surface of one of the substrates in relation to one another in at least one lateral direction with respect to the substrate surface and to thereby simultaneously measure a number of measurement structures disposed laterally offset in relation to one another.
    Type: Grant
    Filed: October 27, 2014
    Date of Patent: September 13, 2016
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Jochen Hetzler, Aksel Goehnermeier
  • Patent number: 9442368
    Abstract: The present disclosure relates to a method of forming an extreme ultraviolet (EUV) pellicle having an pellicle film connected to a pellicle frame without a supportive mesh, and an associated apparatus. In some embodiments, the method is performed by forming a cleaving plane within a substrate. A pellicle frame is attached to an upper surface of the substrate, and the substrate is cleaved along the cleaving plane to form a pellicle film attached to the pellicle frame. The method forms the pellicle without using a support structure, which may block EUV radiation and cause substantial non-uniformities in the intensity of EUV radiation incident on an EUV reticle.
    Type: Grant
    Filed: December 28, 2015
    Date of Patent: September 13, 2016
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chih-Tsung Shih, Tien-Hsi Lee, Chia-Jen Chen, Shang-Chieh Chien, Shinn-Sheng Yu, Jeng-Horng Chen, Anthony Yen
  • Patent number: 9429836
    Abstract: The present invention discloses an exposure mask, an exposure apparatus, and a method for manufacturing a display substrate, which are used for forming a pattern with a smaller aperture, a narrower slit, or a line of smaller width on a photoresist layer. The exposure mask includes a mask body and an anti-diffraction film layer located at a light emergent side of the mask body. Wherein the mask body includes a light transmissive region and a light non-transmissive region; a region of the anti-diffraction film layer which corresponds to at least the light transmissive region of the mask body is a light transmissive region; and the anti-diffraction film layer is a film layer whose refractive index n satisfies n>1.
    Type: Grant
    Filed: July 29, 2014
    Date of Patent: August 30, 2016
    Assignee: BOE TECHNOLOGY GROUP CO., LTD.
    Inventors: Xuan He, Wei Guo
  • Patent number: 9423693
    Abstract: Cantilevers, SPM tips and nanomachining tools are created in the plane of wafers to obtain new and high performance parts. The method produces more parts for any given wafer, then conventional methods and allows every part on any given wafer to be different from any other, permitting great freedom in new SPM and nanomachining techniques and product development.
    Type: Grant
    Filed: March 12, 2014
    Date of Patent: August 23, 2016
    Inventor: Victor B. Kley
  • Patent number: 9417517
    Abstract: A photo mask includes a plurality of dark patterns disposed on a transparent substrate, a first region, a shield region, and a second region. The first region includes the dark patterns that are disposed with a wider space than a first distance. The shield region is adjacent to the first region on the transparent substrate and is filled with the dark pattern. The second region is faced to the first region across the shield region and includes the dark patterns that are disposed with a narrower space than a second distance. The second distance is narrower than ninety percent of the first distance.
    Type: Grant
    Filed: June 10, 2014
    Date of Patent: August 16, 2016
    Assignee: Micron Technology, Inc.
    Inventor: Katsuya Kato
  • Patent number: 9411236
    Abstract: A patterning method may employ a particle beam, such as an electron beam (E-beam) and an exposure system that may include preparing an exposure layout defining a spatial distribution of an E-beam, performing an E-beam exposure process to a mask layer, based on the exposure layout, performing a developing process to the mask layer to form mask patterns including a first pattern. The first pattern may be a single solid pattern, and the exposure layout may include a first data associated with a plurality of E-beam conditions defined for a first region corresponding to the first pattern.
    Type: Grant
    Filed: September 15, 2015
    Date of Patent: August 9, 2016
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yongseok Jung, SangHee Lee
  • Patent number: 9405185
    Abstract: A method of manufacturing a photomask includes forming a mask pattern with a critical mask feature on a photomask. Shape information which is descriptive for an outline of the critical mask feature is obtained from the photomask. The shape information contains position information identifying the positions of landmarks on the outline relative to each other. The landmarks may indicate local curvature extrema, points of inflexion, sharp bends in the curvature and/or local curvature-change maxima in the outline of the mask feature, respectively. The shape information may enable a shape metrology which is not completely based on rectangular approximations of mask features.
    Type: Grant
    Filed: April 7, 2014
    Date of Patent: August 2, 2016
    Assignee: Advanced Mask Technology Center GmbH & Co. KG
    Inventors: Clemens Utzny, Markus Bender, Christian Buergel, Albrecht Ullrich
  • Patent number: 9405195
    Abstract: The present disclosure provides a method that includes forming a first patternable material layer on a substrate; forming a second patternable material layer over the first patternable material layer; and performing a charged particle beam lithography exposure process to the first patternable material layer and the second patternable material layer, thereby forming a first latent feature in the first patternable material layer and a second latent feature in the second patternable material layer.
    Type: Grant
    Filed: June 23, 2015
    Date of Patent: August 2, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yen-Cheng Lu, Chih-Tsung Shih, Jeng-Horng Chen, Shinn-Sheng Yu, Anthony Yen