Patents Examined by Laureen Chan
  • Patent number: 11646182
    Abstract: A coating apparatus for coating a plurality of substrates includes a chamber body having a reaction chamber, a monomer discharge source having a discharge inlet for introducing a coating forming material into the reaction chamber of the chamber body, and a plasma generation source disposed at a central area of the reaction chamber of the chamber body for exciting the coating forming material, wherein the plurality of substrates is adapted for being arranged around the plasma generation source within the chamber body, so that the uniformity of the coatings formed on the surfaces of the substrates is enhanced, and the deposition velocity is increased.
    Type: Grant
    Filed: July 27, 2020
    Date of Patent: May 9, 2023
    Assignee: JIANGSU FAVORED NANOTECHNOLOGY CO., LTD.
    Inventor: Jian Zong
  • Patent number: 11610765
    Abstract: An atmospheric pressure plasma processing apparatus and method employing argon as a plasma gas in the absence of helium, including nanosecond pulse-powered electrodes having planar surfaces, and grounded electrodes having planar surfaces parallel to the surfaces of the powered electrodes and spaced-apart a chosen distance therefrom, forming plasma regions, are described. The absence of helium from the plasma discharge has been found not to affect the quality of the resulting plasma-polymerized coatings of the processed substrates.
    Type: Grant
    Filed: August 9, 2019
    Date of Patent: March 21, 2023
    Assignee: APJeT, Inc.
    Inventors: Gregory A. Roche, David W. Tyner, Carrie E. Cornelius, Joseph H. Cross
  • Patent number: 11594428
    Abstract: A wafer chuck assembly includes a puck, a shaft and a base. The puck includes an electrically insulating material that defines a top surface of the puck; a plurality of electrodes are embedded within the electrically insulating material. The puck also includes an inner puck element that forms one or more channels for a heat exchange fluid, the inner puck element being in thermal communication with the electrically insulating material, and an electrically conductive plate disposed proximate to the inner puck element. The shaft includes an electrically conductive shaft housing that is electrically coupled with the plate, and a plurality of connectors, including electrical connectors for the electrodes. The base includes an electrically conductive base housing that is electrically coupled with the shaft housing, and an electrically insulating terminal block disposed within the base housing, the plurality of connectors passing through the terminal block.
    Type: Grant
    Filed: April 28, 2017
    Date of Patent: February 28, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Toan Q. Tran, Zilu Weng, Dmitry Lubomirsky, Satoru Kobayashi, Tae Seung Cho, Soonam Park, Son M. Phi, Shankar Venkataraman
  • Patent number: 11587820
    Abstract: A mounting table is provided. The mounting table includes a base having a first flow path, a recess, and a second flow path connected to the recess, and a variable control mechanism configured to variably control a contact area between a target object disposed on the base and a mounting surface for mounting thereon the target object by filling and discharging fluid into and from the recess through the second flow path.
    Type: Grant
    Filed: December 27, 2019
    Date of Patent: February 21, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Masakatsu Kashiwazaki
  • Patent number: 11574800
    Abstract: A workpiece processing apparatus allowing independent control of the voltage applied to the shield ring and the workpiece is disclosed. The workpiece processing apparatus includes a platen. The platen includes a dielectric material on which a workpiece is disposed. A bias electrode is disposed beneath the dielectric material. A shield ring, which is constructed from a metal, ceramic, semiconductor or dielectric material, is arranged around the perimeter of the workpiece. A ring electrode is disposed beneath the shield ring. The ring electrode and the bias electrode may be separately powered. This allows the surface voltage of the shield ring to match that of the workpiece, which causes the plasma sheath to be flat. Additionally, the voltage applied to the shield ring may be made different from that of the workpiece to compensate for mismatches in geometries. This improves uniformity of incident angles along the outer edge of the workpiece.
    Type: Grant
    Filed: April 17, 2020
    Date of Patent: February 7, 2023
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Alexandre Likhanskii, Maureen Petterson, John Hautala, Anthony Renau, Christopher A. Rowland, Costel Biloiu
  • Patent number: 11562887
    Abstract: A substrate support is provided in a chamber of a plasma processing apparatus according to an exemplary embodiment. The substrate support has a lower electrode and an electrostatic chuck. A matching circuit is connected between a power source and the lower electrode. A first electrical path connects the matching circuit and the lower electrode to each other. A second electrical path different from the lower electrode is provided to supply electric power from the matching circuit to a focus ring. A sheath adjuster is configured to adjust a position of an upper end of a sheath on/above the focus ring. A variable impedance circuit is provided on the first or second electrical path.
    Type: Grant
    Filed: November 29, 2019
    Date of Patent: January 24, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Chishio Koshimizu
  • Patent number: 11538668
    Abstract: Provided is a mounting stage on which a substrate to be subjected to a plasma process is mounted. The mounting stage includes: an electrostatic chuck configured to attract the substrate and an edge ring disposed around the substrate; and supply holes through which a heat medium is supplied to a space between the electrostatic chuck and the edge ring. A groove is provided in at least one of the edge ring and the mounting stage, and the groove is not in communication with the supply holes.
    Type: Grant
    Filed: May 29, 2019
    Date of Patent: December 27, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yasuharu Sasaki, Kyo Tsuboi, Tomoya Kato, Shoichiro Matsuyama
  • Patent number: 11538664
    Abstract: A substrate is held in a substrate holder and accommodated in a treatment chamber. A positive electrode panel is arranged opposite to a surface of the substrate. Process gas is sent from a blower panel, toward the positive electrode panel and the substrate. A positive electrode of a high-frequency power source is connected to the positive electrode panel, and a negative electrode of the high-frequency power source is connected to the blower panel, to apply a high-frequency voltage. The process gas passes between the positive electrode panel and the blower panel which is the negative electrode, so that plasma is generated. The generated plasma removes contaminants on the surface of the substrate.
    Type: Grant
    Filed: November 28, 2018
    Date of Patent: December 27, 2022
    Assignee: JCU CORPORATION
    Inventors: Keisuke Asano, Kenichi Yamada, Tomohiro Kawasaki, Nobutaka Numagawa
  • Patent number: 11515122
    Abstract: This disclosure relates to a plasma processing system for VHF plasma processing using a transmission antenna designed to enable a resonant VHF standing wave inside a plasma process chamber used to manufacture semiconductor devices. The system includes a transmission element capable of being electromagnetically coupled to incoming power lines connected to a power source. The transmission element, power transmission lines, and power source form a resonant circuit capable of enabling a VHF standing wave on the transmission element. The transmission element is folded back on itself to reduce the footprint of the antenna, such that the transmission element(s) can be located inside the plasma process chamber. The transmission antenna has three portions, with the first being electromagnetically coupled to the power transmission line, the second being coupled to plasma, and the third being a folded portion that reduces the transmission element's footprint.
    Type: Grant
    Filed: March 19, 2019
    Date of Patent: November 29, 2022
    Assignee: Tokyo Electron Limited
    Inventor: Barton Lane
  • Patent number: 11495477
    Abstract: Provided is a technique in which a heating-up time inside a process chamber is reduced. The technique includes a substrate processing apparatus including a process chamber where a substrate is processed, a substrate retainer configured to support the substrate in the process chamber, a process gas supply unit configured to supply a process gas into the process chamber, a first heater installed outside the process chamber and configured to heat an inside of the process chamber, a thermal insulating unit disposed under the substrate retainer, a second heater disposed in the thermal insulating unit and configured to heat the inside of the process chamber, and a purge gas supply unit configured to supply a purge gas into the thermal insulating unit to purge an inside of the thermal insulating unit.
    Type: Grant
    Filed: September 21, 2018
    Date of Patent: November 8, 2022
    Assignee: Kokusai Electric Corporation
    Inventors: Shuhei Saido, Hidenari Yoshida, Takatomo Yamaguchi, Takayuki Nakada, Tomoshi Taniyama
  • Patent number: 11488809
    Abstract: A capacitively coupled plasma substrate processing apparatus includes: a process chamber which is exhausted to vacuum and provides a sealed internal space; a gas inflow pipe which is connected to the process chamber to provide a process gas into the process chamber; a gas distribution unit which is connected to the gas inflow pipe to inject the process gas flowing into the gas inflow pipe in the internal space; an impedance matching network which is disposed outside the process chamber and transfers an RF power of an RF power supply to the gas distribution unit; an RF connection line which connects an output of the impedance matching network to the gas inflow pipe or the gas distribution unit; and a shielding plate which is configured such that at least one of the RF connection line and the gas inflow pipe penetrates the shielding plate and includes a ferromagnetic material.
    Type: Grant
    Filed: April 24, 2018
    Date of Patent: November 1, 2022
    Assignee: Jusung Engineering Co., Ltd.
    Inventors: Kwang Su Yoo, Teugki Park, Yong Hyun Lee, Cheol Woo Chong
  • Patent number: 11476096
    Abstract: A wafer support table in which an RF electrode and a heater electrode are buried inside a circular-plate-shaped ceramic substrate having a wafer placing surface in this order from a wafer placing surface side, wherein the RF electrode is constituted by a plurality of RF zone electrodes provided in respective zones on an identical plane, and the plurality of RF zone electrodes and the heater electrode are independently connected to a plurality of conductors for RF zone electrode and a conductor for heater electrode that are provided on an outer side of a surface of the ceramic substrate opposite to the wafer placing surface.
    Type: Grant
    Filed: July 30, 2019
    Date of Patent: October 18, 2022
    Assignee: NGK Insulators, Ltd.
    Inventor: Tomohiro Takahashi
  • Patent number: 11470712
    Abstract: A plasma processing apparatus includes an antenna configured to generate plasma of a processing gas in a chamber. The antenna includes: an inner coil provided around the gas supply unit to surround a gas supply unit; and an outer coil provided around the gas supply unit and the inner coil to surround them. The outer coil is configured such that both ends of a wire forming the outer coil are opened; power is supplied from a power supply unit to a central point of the wire; the vicinity of the central point of the wire is grounded; and the outer coil resonates at a wavelength that is a half of a wavelength of the high frequency power. The inner coil is configured such that both ends of a wire forming the inner coil are connected through a capacitor and the inner coil is inductively coupled with the inner coil.
    Type: Grant
    Filed: September 27, 2018
    Date of Patent: October 11, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yohei Yamazawa, Takehisa Saito, Mayo Uda, Keigo Toyoda, Alok Ranjan, Toshiki Nakajima
  • Patent number: 11453944
    Abstract: An atomic layer deposition apparatus includes a film-forming container 11 in which a film-forming process is performed, a vertically movable stage 14 configured to hold a substrate 100, a susceptor 50 held on the stage 14 and being configured to hold the substrate 100, and a stage stopper 17 configured to stop rising of the stage 14 and, when in contact with the susceptor 50, partitioning a film-forming space S in which the film-forming process is performed and a transporting space in which transport of the substrate 100 is performed. Further, the susceptor 50 includes an upper susceptor substrate holding portion 52B configured to hold the substrate 100, and an upper susceptor peripheral portion 52A arranged in a periphery of the upper susceptor substrate holding portion 52B, wherein a susceptor deposition prevention member 15 is provided on the upper susceptor peripheral portion 52A.
    Type: Grant
    Filed: April 24, 2017
    Date of Patent: September 27, 2022
    Assignee: THE JAPAN STEEL WORKS, LTD.
    Inventors: Keisuke Washio, Tatsuya Matsumoto
  • Patent number: 11450545
    Abstract: According to some embodiments, a semiconductor substrate processing apparatus includes a housing, a plasma source unit, an electrostatic chuck, and a ring unit. The housing encloses a process chamber. The plasma source unit is connected to the housing, and includes a shower head and a fixing ring positioned to support the shower head. The shower head includes an upper electrode mounted on the fixing ring, and includes injection holes passing through part of the upper electrode and configured to inject gas into the chamber. The electrostatic chuck is connected to the housing and includes a lower electrode, and is for mounting a semiconductor substrate thereon. The ring unit is mounted on an edge portion of the electrostatic chuck, and includes a focus ring and a cover ring surrounding the focus ring. One of the lower electrode and the upper electrode is connected to a high frequency power supply, and the other of the lower electrode and the upper electrode is connected to ground.
    Type: Grant
    Filed: November 14, 2019
    Date of Patent: September 20, 2022
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jongwoo Sun, Incheol Song, Hongmin Yoon, Jihyun Lim, Masayuki Tomoyasu, Jewoo Han
  • Patent number: 11443920
    Abstract: A plasma processing apparatus includes a plasma generation unit for converting a processing gas into plasma by an inductive coupling. The plasma generation unit includes a first high frequency antenna formed of a vortex coil having open opposite ends and, at a central portion of a line between the open ends, a supply point of a high frequency power and a grounding point grounded through a capacitor; a second high frequency antenna formed of a planar vortex coil disposed between first and second high frequency antenna elements of the first high frequency antenna; and an impedance adjustment unit for adjusting a resonant frequency of a circuit viewed from a high frequency power supply toward the first high frequency antenna which is configured to have two resonant frequencies depending on adjustment of the impedance adjustment unit when the frequency of the high frequency power is changed.
    Type: Grant
    Filed: December 30, 2019
    Date of Patent: September 13, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Jun Yamawaku, Tatsuo Matsudo, Chishio Koshimizu
  • Patent number: 11408067
    Abstract: A loading jig and an evaporator are provided. The loading jig includes a body and at least one elastic membrane. The body has a plate-like structure and is sandwiched between the bearing platform and the plurality of clamping blocks. Said at least one elastic membrane is respectively disposed between at least one clamping block and a bottom of the body. The elastic membrane is externally connected to a signal converter. The pressure applied by the clamping block may be measured through the elastic membrane when the clamping block is pressed against the bottom of the body, and then the measured pressure signal is transmitted to the signal converter to be converted into a pressure value.
    Type: Grant
    Filed: December 11, 2018
    Date of Patent: August 9, 2022
    Assignees: BOE TECHNOLOGY GROUP CO., LTD., ORDOS YUANSHENG OPTOELECTRONICS CO., LTD.
    Inventor: Liwei Guan
  • Patent number: 11404252
    Abstract: An electrostatic chuck includes a chuck plate configured to mount a substrate, an insulation pillar provided outside the chuck plate, the insulation pillar having a pin hole formed therein, a first movable ring provided on the insulation pillar, surrounding a side of the chuck plate, a second movable ring configured to cover at least a part of an upper portion of the first movable ring, and a driving pin configured to move in the pin hole of the insulation pillar in a vertical direction, the driving pin overlapped by at least a part of the first movable ring and at least a part of the second movable ring in the vertical direction. The driving pin is configured to drive the first movable ring and the second movable ring in the vertical direction or to drive the second movable ring in the vertical direction.
    Type: Grant
    Filed: May 4, 2020
    Date of Patent: August 2, 2022
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Byeongsang Kim, Keonwoo Kim, Eungsu Kim, Hakyoung Kim, Heewon Min, Kyeongtea Bang, Seungwon Shin, Dongyun Yeo, Hyanjung Lee, Kyeongseok Jeong
  • Patent number: 11398401
    Abstract: A wafer mounting table includes a first electrode and a second electrode buried inside of a ceramic substrate having a wafer mounting surface so as to be parallel to the wafer mounting surface with the first electrode closer to the wafer mounting surface than the second electrode. The wafer mounting table includes a conductive section that electrically conducts the first electrode and the second electrode. The conductive section is such that a plurality of circular members comprised of plate-shaped metal meshes parallel to the wafer mounting surface are stacked between the first electrode and the second electrode.
    Type: Grant
    Filed: February 24, 2020
    Date of Patent: July 26, 2022
    Assignee: NGK Insulators, Ltd.
    Inventors: Yuji Akatsuka, Masaki Ishikawa, Kazuhiro Nobori, Akiyoshi Hattori, Keiichi Nakamura
  • Patent number: 11380525
    Abstract: A ring for an electrode includes three or more silicon members having an arc shape and a joining part joining the silicon members. The joining part contains boron oxide. A clean copy of the amended abstract is provided in Exhibit A of this preliminary amendment.
    Type: Grant
    Filed: July 28, 2017
    Date of Patent: July 5, 2022
    Assignee: THINKON NEW TECHNOLOGY JAPAN CORPORATION
    Inventors: Atsushi Ikari, Satoshi Fujii