Patents Examined by S. Rosasco
  • Patent number: 7229724
    Abstract: Reticles having reticle patterns suitable for reducing edge of array effects are provided. The reticle patterns have unresolvable patterns formed in the periphery areas of the reticle patterns. The unresolvable patterns are non-transparent with respect to patterning radiation. Systems incorporating the reticles are also provided. Additionally, methods of forming and using the reticles are provided.
    Type: Grant
    Filed: August 16, 2004
    Date of Patent: June 12, 2007
    Assignee: Micron Technology, Inc.
    Inventors: William J. Baggenstoss, Byron N. Burgess, Erik Byers, William A. Stanton
  • Patent number: 7229721
    Abstract: A method for evaluating a photo mask comprises preparing a photo mask including a unit drawing pattern, finding a dimensional variation relating to the photo mask, the dimensional variation including first and second dimensional variations, the first dimensional variation occurring due to a positional displacement and size mismatch of the unit drawing pattern in the photo mask and the second dimensional variation occurring due to etching and development relating to a manufacturing of the photo mask, estimating a deteriorated amount of an exposure latitude occurring due to the dimensional variation of the photo mask using the dimensional variation and a degree of influence of the dimensional variation for the exposure latitude, and judging quality of the photo mask by comparing the deteriorated amount of the exposure latitude and an allowable deteriorated amount of the exposure latitude.
    Type: Grant
    Filed: November 13, 2003
    Date of Patent: June 12, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Shoji Mimotogi, Shigeki Nojima, Osamu Ikenaga
  • Patent number: 7229723
    Abstract: An opening is formed in a light-absorbing layer on a mask by applying a second resist above a first resist on the layer. A first exposure step with subsequent development of the second resist leads to the formation of a first opening in the developed second resist. The first resist is uncovered on an area within the opening. A second exposure step is performed by irradiation of the mask in a second segment, which is laterally offset with respect to the first opening, so that an incomplete portion of the area of the uncovered first resist is exposed within the opening. After a further development step and an etching step with formation of a second opening in the developed first resist with a transfer of the portion into the light-absorbing layer, this opening has a diameter smaller than both the first and the second segment.
    Type: Grant
    Filed: March 2, 2004
    Date of Patent: June 12, 2007
    Assignee: Infineon Technologies AG
    Inventor: Jenspeter Rau
  • Patent number: 7226708
    Abstract: The present invention provides an attenuated phase shift mask (“APSM”) that, in each embodiment, includes completely transmissive regions sized and shaped to define desired semiconductor device features, slightly attenuated regions at the edges of the completely transmissive regions corresponding to isolated device features, highly attenuated regions at the edges of completely transmissive regions corresponding to closely spaced or nested device features, and completely opaque areas where it is desirable to block transmission of all radiation through the APSM. The present invention further provides methods for fabricating the APSMs according to the present invention.
    Type: Grant
    Filed: June 15, 2005
    Date of Patent: June 5, 2007
    Assignee: Micron Technology, Inc.
    Inventor: J. Brett Rolfson
  • Patent number: 7226707
    Abstract: The invention encompasses a radiation-patterning tool. The tool is configured to be utilized to print a pair of structures in a radiation-sensitive material. The tool includes two separate and discrete features, with one of the features corresponding to one of the structures of the pair of structures and the other of the two features correspond to the other of the structures. At least one element is between the features. The at least one element is at least partially transparent to radiation passing through the radiation-patterning tool, but does not correspond to a discrete structure printed in the radiation-sensitive material. The element modifies the structures printed from the pair of features. The invention also includes printing methods and methods of forming aligned structures with radiation-sensitive material.
    Type: Grant
    Filed: March 24, 2004
    Date of Patent: June 5, 2007
    Assignee: Micron Technology, Inc.
    Inventors: H. Daniel Dulman, William A. Stanton
  • Patent number: 7223504
    Abstract: A crystallization mask for laser illumination for converting amorphous silicon into polysilicon is provided, which includes: a plurality of transmissive areas having a plurality of first slits for adjusting energy of the laser illumination passing through the mask; and an opaque area.
    Type: Grant
    Filed: November 19, 2004
    Date of Patent: May 29, 2007
    Assignee: Samsung Electronics Co., Ltd
    Inventors: Su-Gyeong Lee, Hyun-Jae Kim, Myung-Koo Kang
  • Patent number: 7214453
    Abstract: A mask arranges a predetermined pattern and an auxiliary pattern smaller than the predetermined pattern so that where a virtual lattice is assumed which has a lattice point located at a center of the predetermined pattern, a center of the auxiliary pattern is offset from the lattice point of the virtual lattice.
    Type: Grant
    Filed: February 20, 2004
    Date of Patent: May 8, 2007
    Assignee: Canon Kabushiki Kaisha
    Inventors: Kenji Yamazoe, Kenji Saitoh, Akiyoshi Suzuki
  • Patent number: 7211355
    Abstract: The invention relates to a method for producing phase shifter masks for 157 nm lithography. A coating has an organic material and is at least partially configured on the phase shifter mask. This coating is processed with an electron beam. This allows efficient production of very small structures, even for 157 nm lithography.
    Type: Grant
    Filed: October 18, 2005
    Date of Patent: May 1, 2007
    Assignee: Infineon Technologies AG
    Inventors: Christof Matthias Schilz, Klaus Eisner
  • Patent number: 7211354
    Abstract: A mask substrate comprises a transparent substrate including a reference mark and a light shielding film formed on the transparent substrate.
    Type: Grant
    Filed: February 25, 2003
    Date of Patent: May 1, 2007
    Assignees: Kabushiki Kaisha Toshiba, Dai Nippon Printing Co., Ltd.
    Inventor: Masamitsu Itoh
  • Patent number: 7205075
    Abstract: A method of forming a vertical memory device with a rectangular trench. First, a substrate covered by a photoresist layer is provided. Next, the photoresist layer is defined by a mask to form a rectangular opening, wherein the mask has two rectangular transparent patterns arranged with a predetermined interval. Next, the substrate is etched using the defined photoresist layer as a mask to form a single rectangular trench and the photoresist layer is then removed. Finally, a trench capacitor and a vertical transistor are successively formed in the rectangular trench to finish the vertical memory device.
    Type: Grant
    Filed: May 29, 2003
    Date of Patent: April 17, 2007
    Assignee: Nanya Technology Corporation
    Inventors: Yu-Sheng Shu, Yuan-Hsun Wu, Chung-Yuan Lee, Shian-Jyh Lin
  • Patent number: 7205076
    Abstract: A laser beam mask for shaping a laser beam includes a base substrate having first and second surfaces and having at least one first open portion, and a reflecting layer on the first surface of the base substrate, wherein the reflecting layer has at least one second open portion corresponding to the at least one first open portion and totally reflects the laser beam.
    Type: Grant
    Filed: November 13, 2003
    Date of Patent: April 17, 2007
    Assignee: LG.Philips LCD Co., Ltd.
    Inventor: Yun-Ho Jung
  • Patent number: 7205077
    Abstract: An isolated light-shielding pattern formed from a light-shielding film region 101 and a phase shift region 102 is formed on a transparent substrate 100 serving as a mask. The phase shift region 102 has a phase difference with respect to a light-transmitting region of the transparent substrate 100. Moreover, the width of the phase shift region 102 is set such that a light-shielding property of the phase shift region 102 becomes at least about the same as that of a light-shielding film having the same width.
    Type: Grant
    Filed: December 22, 2005
    Date of Patent: April 17, 2007
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Akio Misaka
  • Patent number: 7198872
    Abstract: A light scattering EUVL mask and a method of forming the same comprises depositing a crystalline silicon layer over an ultra low expansion substrate, depositing a hardmask over the crystalline silicon layer, patterning the hardmask; etching the crystalline silicon layer, removing the hardmask, and depositing a Mo/Si layer over the crystalline silicon layer, wherein etched regions of the crystalline silicon layer comprise uneven surfaces in the etched regions. The method further comprises depositing a photoresist mask over the hardmask, creating a pattern in the photoresist mask, and transferring the pattern to the hardmask.
    Type: Grant
    Filed: May 25, 2004
    Date of Patent: April 3, 2007
    Assignee: International Business Machines Corporation
    Inventors: Emily E. Gallagher, Louis M. Kindt, Carey W. Thiel
  • Patent number: 7195845
    Abstract: A spin-coating method according to the present invention includes a uniforming step of rotating a substrate at a predetermined main rotation speed for a predetermined main rotation time so as to primarily make a resist film thickness uniform, and a subsequent drying step of rotating the substrate at a predetermined drying rotation speed for a predetermined drying rotation time so as to primarily dry the uniform resist film. In the present invention, a contour map, for example, of film thickness uniformity within an effective region (critical area) shown in FIG. 3A is determined (generated), and resist-coating is performed by selecting a condition within the optimum region in this contour map in which the film thickness uniformity (within an effective region) can be the maximum, or within the region in which the film thickness uniformity (within an effective region) can be high enough for a desirably specified.
    Type: Grant
    Filed: April 3, 2003
    Date of Patent: March 27, 2007
    Assignee: Hoya Corporation
    Inventors: Hideo Kobayashi, Takao Higuchi
  • Patent number: 7195846
    Abstract: A photomask blank having a film of at least one layer formed on a substrate is manufactured by forming a film on a substrate and irradiating the film with light from a flash lamp. A photomask is manufactured from the thus manufactured photomask blank by forming a patterned resist on the film on the blank by photolithography, etching away those portions of the film which are not covered with the resist, and removing the resist. The photomask blank and photomask have minimized warpage and improved chemical resistance.
    Type: Grant
    Filed: December 2, 2003
    Date of Patent: March 27, 2007
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Hideo Kaneko, Yukio Inazuki, Tetsushi Tsukamoto, Masayuki Mogi, Katsuya Okumura
  • Patent number: 7189495
    Abstract: A method of forming a photoresist layer free from a side-lobe. A mask consists of a side-lobe region, a pattern region, and an intermediate region, wherein the side-lobe region is the corresponding area of the side-lobe that used to be produced in the photoresist layer, the pattern region is the corresponding area of the pattern formed in the photoresist layer, and the intermediate region is the area between the side-lobe region and the pattern region. The method is characterized in that the transmittance of the side-lobe region is set lower than that of the intermediate region so that the side-lobe region has the light intensity lower than threshold required to trigger the photoreaction of the material for said photoresist layer.
    Type: Grant
    Filed: May 29, 2003
    Date of Patent: March 13, 2007
    Assignee: Macronix International Co., Ltd.
    Inventor: Wei-Hwa Sheu
  • Patent number: 7189480
    Abstract: A mask assembly includes a frame and at least one linear element secured onto the frame. The linear elements define a masking part. The masking part has at least one opening. The openings are made by removing predetermined linear elements from those secured to the frame.
    Type: Grant
    Filed: January 5, 2004
    Date of Patent: March 13, 2007
    Assignee: Pioneer Corporation
    Inventors: Takashi Chuman, Yoshihiko Uchida, Hideo Satoh, Takuya Hata, Atsushi Yoshizawa
  • Patent number: 7186480
    Abstract: A method for adjusting one or more dimensions of a photomask subsequent to etching of a defective pattern in the chrome-containing layer thereof is provided. The method includes subjecting the chrome-containing layer of a photomask to a wet etch process utilizing a solution comprising deionized water and ozone. The length of exposure is directly proportional to the degree of adjustment desired. That is, if a small adjustment in one or more dimensions of a photomask is desired, the photomask may be exposed to the deionized water and ozone solution for only a few moments, whereas if a much larger adjustment is necessary, the photomask may be exposed to the solution for several hours. Accordingly, the method of the present invention provides a way in which dimensions of a photomask may be adjusted by a small amount (e.g., a few angstroms) or more severely adjusted, for example, by 20–30 nanometers or more.
    Type: Grant
    Filed: December 10, 2003
    Date of Patent: March 6, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Robert T. Rasmussen, Jim R. Baugh
  • Patent number: 7179570
    Abstract: A chromeless phase shift lithography (CPL) mask is described herein. The CPL mask includes a reticle having a phase-shifting feature pattern to produce a projected aerial image for patterning one or more large resist areas on a semiconductor substrate. The phase-shifting feature pattern includes an inner pattern comprising a plurality of phase-shifting features interspersed with non-phase-shifting areas. The phase-shifting features and the non-phase-shifting areas are arranged in a substantially alternating two-dimensional pattern surrounded by a substantially-filled phase-shifting peripheral area having a perimeter forming a pattern outline that is similar to an outline of the one or more large resist areas. Light that passes through the phase-shifting features and the phase-shifting peripheral area is phase-shifted by approximately 180 degrees from light passing through the non-phase-shifting areas of the CPL mask.
    Type: Grant
    Filed: December 2, 2005
    Date of Patent: February 20, 2007
    Assignee: Intel Corporation
    Inventors: Sam Sivakumar, Paul Nyhus
  • Patent number: 7179567
    Abstract: In a phase shift mask blank comprising a transparent substrate and a phase shift film thereon, after the phase shift film is formed on the substrate, it is surface treated with ozone water having an ozone concentration of at least 1 ppm. The resulting phase shift film is of quality in that it experiences minimized changes of phase difference and transmittance upon immersion in chemical liquid during subsequent mask cleaning step or the like.
    Type: Grant
    Filed: June 27, 2003
    Date of Patent: February 20, 2007
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Yukio Inazuki, Masayuki Nakatsu, Tsuneo Numanami, Atsushi Tajika, Hideo Kaneko, Satoshi Okazaki