Patents Examined by Whitney T Moore
  • Patent number: 9905728
    Abstract: A UV light emitting device is disclosed. The UV light emitting device includes: a substrate; an n-type semiconductor layer disposed on the substrate; an active layer disposed on the n-type semiconductor layer; a hole injection layer disposed on the active layer and comprising Al; an Al-delta layer disposed on the hole injection layer and comprising Al; and a first p-type contact layer disposed on the Al-delta layer and having a higher doping concentration of p-type dopants than the hole injection layer, wherein the first p-type contact layer has a lower Al content than the hole injection layer, a band-gap of the first p-type contact layer is lower than or equal to energy of light emitted from the active layer, and the Al-delta layer has a higher Al content than the hole injection layer and allows holes to enter the active layer by tunneling therethrough.
    Type: Grant
    Filed: September 30, 2015
    Date of Patent: February 27, 2018
    Assignee: Seoul Viosys Co., Ltd.
    Inventors: Ki Yon Park, Yu Dae Han
  • Patent number: 9899529
    Abstract: A method for making a self-aligned vertical nanosheet field effect transistor. A vertical trench is etched in a layered structure including a plurality of layers, using reactive ion etching, and filled, using an epitaxial process, with a vertical semiconductor nanosheet. A sacrificial layer from among the plurality of layers is etched out and replaced with a conductive (e.g., metal) gate layer coated with a high-dielectric-constant dielectric material. Two other layers from among the plurality of layers, one above and one below the gate layer, are doped, and act as dopant donors for a diffusion process that forms two PN junctions in the vertical semiconductor nanosheet.
    Type: Grant
    Filed: June 28, 2016
    Date of Patent: February 20, 2018
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Joon Goo Hong, Borna Obradovic, Mark Rodder
  • Patent number: 9899313
    Abstract: A semiconductor package, e.g., wafer, chip, interposer, etc., includes a multi terminal capacitor within an input output (IO) path. The multi terminal capacitor is electrically attached directly upon a first IO contact of the semiconductor package. There is no inductance between the multi terminal capacitor and an interconnect that electrically connects the first IO contact with a second IO contact of a second semiconductor package and no inductance between the multi terminal capacitor and the first IO contact. The multi terminal capacitor may serve as a power source to cycle the turning on and off of the various circuits within a semiconductor chip associated with the semiconductor package. Because the distance between the multi terminal capacitor and semiconductor chip is reduced, inductance within the system is resultantly reduced. The multi terminal capacitor may be a decoupling capacitor that decouples one part of semiconductor chip from another part of semiconductor chip.
    Type: Grant
    Filed: July 11, 2016
    Date of Patent: February 20, 2018
    Assignee: International Business Machines Corporation
    Inventors: Charles L. Arvin, Jean Audet, Brian W. Quinlan, Charles L. Reynolds, Brian R. Sundlof
  • Patent number: 9899466
    Abstract: An integrated circuit with first and second resistors comprised of resistor bodies, resistor heads, and resistor buffer regions wherein the resistor buffer regions are disposed between the resistor body and the resistor heads. The width of the first and second resistors is different. The length of the first and second resistor buffer regions is different. The total head resistance which is equal to the resistor head resistance plus the resistor buffer region is equal for both the first and second resistors.
    Type: Grant
    Filed: October 27, 2016
    Date of Patent: February 20, 2018
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Josef Muenz
  • Patent number: 9899319
    Abstract: A semiconductor device with a semiconductor-on-insulator (SOI) structure is provided including an insulating layer and a semiconductor layer formed on the insulating layer and a fuse. The fuse includes a first at least partially silicided raised semiconductor region with a first silicided portion and, adjacent to the first at least partially silicided raised semiconductor region, a second at least partially silicided raised semiconductor region with a second silicided portion. The second silicided portion is formed in direct physical contact with the first silicided portion.
    Type: Grant
    Filed: February 9, 2017
    Date of Patent: February 20, 2018
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Andreas Kurz, Andrei Sidelnicov
  • Patent number: 9892963
    Abstract: A method of fabricating an integrated circuit includes depositing a cap layer on a substrate; depositing a dielectric layer on the cap layer; and forming a trench in the dielectric layer. The method further includes depositing a tantalum nitride (TaN) layer on a sidewall of the trench such that the TaN layer has a greater concentration of nitrogen than tantalum. The method further includes depositing a tantalum (Ta) layer on the TaN layer using physical vapor deposition (PVD); and depositing a metal layer over the Ta layer.
    Type: Grant
    Filed: October 9, 2015
    Date of Patent: February 13, 2018
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Ya-Lien Lee, Hung-Wen Su, Kuei-Pin Lee, Yu-Hung Lin, Yu-Min Chang
  • Patent number: 9893171
    Abstract: A method of forming a fin field effect transistor (finFET), including forming a temporary gate structure having a sacrificial gate layer and a dummy gate layer on the sacrificial gate layer, forming a gate spacer layer on each sidewall of the temporary gate structure, forming a source/drain spacer layer on the outward-facing sidewall of each gate spacer layer, removing the dummy gate layer to expose the sacrificial gate layer, removing the sacrificial gate layer to form a plurality of recessed cavities, and forming a gate structure, where the gate structure occupies at least a portion of the plurality of recessed cavities.
    Type: Grant
    Filed: June 3, 2016
    Date of Patent: February 13, 2018
    Assignee: International Business Machines Corporation
    Inventors: Veeraraghavan S. Basker, Zuoguang Liu, Tenko Yamashita, Chun-Chen Yeh
  • Patent number: 9893201
    Abstract: To provide an oxide semiconductor film having stable electric conductivity and a highly reliable semiconductor device having stable electric characteristics by using the oxide semiconductor film. The oxide semiconductor film contains indium (In), gallium (Ga), and zinc (Zn) and includes a c-axis-aligned crystalline region aligned in the direction parallel to a normal vector of a surface where the oxide semiconductor film is formed. Further, the composition of the c-axis-aligned crystalline region is represented by In1+?Ga1??O3(ZnO)m (0<?<1 and m=1 to 3 are satisfied), and the composition of the entire oxide semiconductor film including the c-axis-aligned crystalline region is represented by InxGayO3(ZnO)m (0<x<2, 0<y<2, and m=1 to 3 are satisfied).
    Type: Grant
    Filed: June 30, 2016
    Date of Patent: February 13, 2018
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Masahiro Takahashi, Kengo Akimoto, Shunpei Yamazaki
  • Patent number: 9882081
    Abstract: Disclosed are a method of forming a photodetector and a photodetector structure. In the method, a polycrystalline or amorphous light-absorbing layer is formed on a dielectric layer such that it is in contact with a monocrystalline semiconductor core of an optical waveguide. The light-absorbing layer is then encapsulated in one or more strain-relief layers and a rapid melting growth (RMG) process is performed to crystallize the light-absorbing layer. The strain-relief layer(s) are tuned for controlled strain relief so that, during the RMG process, the light-absorbing layer remains crack-free. The strain-relief layer(s) are then removed and an encapsulation layer is formed over the light-absorbing layer (e.g., filling in surface pits that developed during the RMG process). Subsequently, dopants are implanted through the encapsulation layer to form diffusion regions for PIN diode(s). Since the encapsulation layer is relatively thin, desired dopant profiles can be achieved within the diffusion regions.
    Type: Grant
    Filed: August 3, 2016
    Date of Patent: January 30, 2018
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: John J. Ellis-Monaghan, John C. S. Hall, Marwan H. Khater, Edward W. Kiewra, Steven M. Shank
  • Patent number: 9881929
    Abstract: A first tier structure including a first alternating stack of first insulating layers and first sacrificial material layers is formed over a substrate. First support openings and first memory openings, filled with first support pillar structures and sacrificial pillar structures, respectively, are formed through the first tier structure. A second tier structure including a second alternating stack of second insulating layers and second sacrificial material layers is formed thereabove. Second support openings and second memory openings are formed through the second tier structure such that the second support openings do not overlap with the first support pillar structures and the second memory openings overlie the sacrificial pillar structures. Inter-tier memory openings are formed by removal of the sacrificial pillar structures. Memory stack structures and second support pillar structures are formed in the inter-tier memory openings and the second support openings, respectively.
    Type: Grant
    Filed: October 27, 2016
    Date of Patent: January 30, 2018
    Assignee: SANDISK TECHNOLOGIES LLC
    Inventors: Pradhyumna Ravikirthi, Jayavel Pachamuthu, Jagdish Sabde, Peter Rabkin
  • Patent number: 9882006
    Abstract: A method for channel formation in a fin transistor includes removing a dummy gate and dielectric from a dummy gate structure to expose a region of an underlying fin and depositing an amorphous layer including Ge over the region of the underlying fin. The amorphous layer is oxidized to condense out Ge and diffuse the Ge into the region of the underlying fin to form a channel region with Ge in the fin.
    Type: Grant
    Filed: November 1, 2016
    Date of Patent: January 30, 2018
    Assignees: INTERNATIONAL BUSINESS MACHINES CORPORATION, STMICROELECTRONICS, INC.
    Inventors: Hong He, Nicolas Loubet, Junli Wang
  • Patent number: 9875913
    Abstract: A method of packaging an integrated circuit includes forming a first integrated circuit and a second integrated circuit on a wafer, the first and second integrated circuit separated by a singulation region. The method includes covering the first and second integrated circuits with a molding compound, and sawing through a top portion of the molding compound using a first beveled saw blade, while leaving a bottom portion of the molding compound remaining. The method further includes sawing through the bottom portion of the molding compound and the wafer using a second saw blade, the second saw blade having a thickness that is less than a thickness of the first saw blade. The resulting structure is within the scope of the present disclosure.
    Type: Grant
    Filed: October 30, 2013
    Date of Patent: January 23, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ming-Kai Liu, Yen-Ping Wang, Kai-Chiang Wu
  • Patent number: 9876143
    Abstract: In an example, the present invention provides a light-emitting device configured to emit electromagnetic radiation in a range of 210 to 360 nanometers. The device has a substrate member comprising a surface region. The device has a thickness of AlGaN material formed overlying the surface region and an aluminum concentration characterizing the AlGaN material having a range of 0 to 100%. The device has a boron doping concentration characterizing the AlGaN material having a range between 1e15 to 1e20 atoms/centimeter3.
    Type: Grant
    Filed: October 1, 2014
    Date of Patent: January 23, 2018
    Assignee: RayVio Corporation
    Inventors: Yitao Liao, Douglas A. Collins, Wei Zhang
  • Patent number: 9876029
    Abstract: A semiconductor memory device according to an embodiment comprises: a plurality of memory strings arranged in a first direction intersecting a surface of a semiconductor substrate, each of the memory strings including a plurality of memory transistors connected in series in a second direction along the surface of the semiconductor substrate; a source side select transistor connected to one end of the memory string; a drain side select transistor connected to the other end of the memory string; a plurality of source lines respectively connected, via the source side select transistor, to each of the plurality of memory strings arranged along the first direction; a bit line commonly connected, via the drain side select transistor, to the plurality of memory strings arranged along the first direction; a word line connected to a gate electrode of the memory transistor; and a layer selector disposed between the source line and the source side select transistor and commonly connected to the plurality of memory strin
    Type: Grant
    Filed: September 15, 2016
    Date of Patent: January 23, 2018
    Assignee: TOSHIBA MEMORY CORPORATION
    Inventors: Jun Fujiki, Takeshi Kamigaichi, Hideaki Aochi
  • Patent number: 9876076
    Abstract: An integrated circuit may include an SOI substrate having a buried insulating layer, and a semiconductor film above the buried insulating layer. The semiconductor film may have first patterns in a first zone defining gate regions of first MOS transistors and also first dummy gate regions. The first zone may include two domains having a space therebetween, and the space may be filled by at least one insulating material and be situated between two dummy gate regions above a region of the supporting substrate without any insulating trench.
    Type: Grant
    Filed: December 2, 2015
    Date of Patent: January 23, 2018
    Assignee: STMicroelectronics (Crolles 2) SAS
    Inventor: Emmanuel Perrin
  • Patent number: 9871004
    Abstract: Semiconductor chip laminates and inductive, capacitive, and electromagnetic shielding laminate structures that can be integrated together to form electronic circuits for use in systems and devices such as smartphones, tablet computers, notebook computers, wearable electronic devices, portable medical devices, servers, networking equipment, industrial equipment, etc. Fabrications of such integrated laminate structures can be modularized into four (4) types of laminates, namely, inductive laminates, capacitive laminates, electromagnetic shielding laminates, and semiconductor chip laminates, which can be vertically laminated together and/or integrated side-by-side with high density to produce the desired electronic circuits, systems, and devices.
    Type: Grant
    Filed: December 2, 2015
    Date of Patent: January 16, 2018
    Assignee: Suzhou Qing Xin Fang Electronics Technology Co., Ltd.
    Inventor: Jerry Zhijun Zhai
  • Patent number: 9871053
    Abstract: Provided herein is a semiconductor device. The semiconductor device includes: a lower conductive pattern; a lower memory string conductive pattern disposed over the lower conductive pattern; a stack of upper memory string conductive patterns, wherein the stack is disposed over the lower memory string conductive pattern; a lower pad pattern extending from the lower memory string conductive pattern; upper pad patterns respectively extending from the upper memory string conductive patterns; a floating conductive pattern disposed under below the lower pad pattern, the floating conductive pattern overlapping the lower pad pattern; and a contact plug coming into contact with the lower pad pattern and overlapping the floating conductive pattern.
    Type: Grant
    Filed: July 26, 2016
    Date of Patent: January 16, 2018
    Assignee: SK Hynix Inc.
    Inventor: Sang Hyon Kwak
  • Patent number: 9871121
    Abstract: In a particular embodiment, a method includes forming a first spacer structure on a dummy gate of a semiconductor device and forming a sacrificial spacer on the first spacer structure. The method also includes etching a structure of the semiconductor device to create an opening, removing the sacrificial spacer via the opening, and depositing a material to close to define a gap.
    Type: Grant
    Filed: July 25, 2014
    Date of Patent: January 16, 2018
    Assignee: QUALCOMM Incorporated
    Inventors: Jeffrey Junhao Xu, Kern Rim, John Jianhong Zhu, Stanley Seungchul Song, Mustafa Badaroglu, Vladimir Machkaoutsan, Da Yang, Choh Fei Yeap
  • Patent number: 9868630
    Abstract: A package structure includes a device chip, a MEMS die, a cap structure, and an eutectic bonding layer. The MEMS die is over the device chip and includes a substrate having a plurality of cavities and a conductive layer covering a bottom surface and sidewalls of each of the cavities. The cap structure is coupled to the MEMS die, and the cap structure includes a base substrate having at least one seal ring located in the cavities and a bonding layer covering a first surface and at least part of sidewalls of the seal ring. The first surface of the seal ring faces the MEMS die. The eutectic bonding layer is located between the conductive layer and the bonding layer in the cavities. In addition, a method of manufacturing the package structure is provided.
    Type: Grant
    Filed: August 16, 2016
    Date of Patent: January 16, 2018
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Kai-Fung Chang, Len-Yi Leu, Lien-Yao Tsai
  • Patent number: 9865582
    Abstract: An electronic device comprising a semiconductor structure having a back end capacitor and a back end thin film resistor and a method of manufacturing the same. The semiconductor structure includes a first dielectric layer, a bottom plate of the capacitor and a thin film resistor body. The bottom plate and the resistor body are laterally spaced apart portions of the same thin film layer. The bottom plate further includes a conductive layer overlying the thin film layer. A second dielectric layer is disposed on the conductive layer of the bottom plate of the capacitor. A top plate of the capacitor is disposed on the second dielectric layer.
    Type: Grant
    Filed: January 22, 2016
    Date of Patent: January 9, 2018
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Christoph Dirnecker