Patents by Inventor Chanro Park

Chanro Park has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20230290821
    Abstract: Embodiments of the invention include a method for fabricating a semiconductor device and the resulting structure. A nanosheet stack of alternating nanosheets of a sacrificial semiconductor material nanosheet and a semiconductor channel material nanosheet and a dielectric nanosheet as a top layer of the nanosheet stack is provided above a semiconductor substrate. A dummy gate with a gate cap and spacers on the sidewalls straddle over the nanosheet stack. End portions of the sacrificial semiconductor material nanosheets are recessed. A dielectric spacer material layer is formed. A source/drain region is formed on the sidewalls of each semiconductor channel material nanosheet. The dummy gate and gate cap are removed. Each sacrificial semiconductor material nanosheet is removed. A functional gate structure is formed that wraps around each suspended semiconductor channel material nanosheet. A self-aligned source/drain contact region is formed.
    Type: Application
    Filed: March 10, 2022
    Publication date: September 14, 2023
    Inventors: Kangguo Cheng, Ruilong Xie, Julien Frougier, CHANRO PARK
  • Patent number: 11742350
    Abstract: Embodiments of the present invention are directed to fabrication methods and resulting structures that provide metal gate N/P boundary control in an integrated circuit (IC) using an active gate cut and recess processing scheme. In a non-limiting embodiment of the invention, a gate cut is formed in an N/P boundary between an n-type field effect transistor (FET) and a p-type FET. A first portion of a first work function metal is removed over a channel region of the n-type FET. The gate cut prevents etching a second portion of the first work function metal. The first portion of the first work function metal is replaced with a second work function metal. The gate cut is recessed, and a conductive region is formed on the recessed surface of the gate cut. The conductive region provides electrical continuity across the N/P boundary.
    Type: Grant
    Filed: September 23, 2021
    Date of Patent: August 29, 2023
    Assignee: International Business Machines Corporation
    Inventors: Andrew Gaul, Chanro Park, Julien Frougier, Ruilong Xie, Andrew M. Greene, Christopher J. Waskiewicz
  • Publication number: 20230268267
    Abstract: An antifuse structure including a first metal line, a top via above and directly contacting the first metal line, a second metal line, and a conductive etch stop layer separating both the first metal line and the second metal line from an underlying layer, where a first portion of the conductive etch stop layer directly beneath the first metal line comprises a first extension region and a second portion of the conductive etch stop layer directly beneath the second metal line comprises a second extension region opposite the first extension region.
    Type: Application
    Filed: February 21, 2022
    Publication date: August 24, 2023
    Inventors: Kenneth Chun Kuen Cheng, Koichi Motoyama, CHANRO PARK, Chih-Chao Yang
  • Patent number: 11735590
    Abstract: A fin stack including compressively strained and tensile-strained semiconductor fin regions allows CMOS fabrication to form vertically stacked p-type FinFETs and n-type FinFETs. Aspect ratio trapping within a semiconductor base region within the fin stack provides a relaxed semiconductor base region on which uniaxially strained regions are grown. A dielectric layer may be formed to electrically isolate the compressively strained semiconductor fin region from the tensile-strained semiconductor fin region.
    Type: Grant
    Filed: November 13, 2020
    Date of Patent: August 22, 2023
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Julien Frougier, Ruilong Xie, Chanro Park
  • Patent number: 11735475
    Abstract: A method for manufacturing a semiconductor device includes forming an interconnect in a first dielectric layer, and forming a second dielectric layer on the first dielectric layer. In the method, an etch stop layer is formed on the second dielectric layer, and a third dielectric layer is formed on the etch stop layer. A trench and an opening are formed in the third and second dielectric layers, respectively. A barrier layer is deposited in the trench and in the opening, and on a top surface of the interconnect. The method also includes removing the barrier layer from the top surface of the interconnect and from a bottom surface of the trench, and depositing a conductive fill layer in the trench and in the opening, and on the interconnect. A bottom surface of the trench includes the etch stop layer.
    Type: Grant
    Filed: October 4, 2021
    Date of Patent: August 22, 2023
    Assignee: International Business Machines Corporation
    Inventors: Chanro Park, Koichi Motoyama, Kenneth Chun Kuen Cheng, Nicholas Anthony Lanzillo
  • Publication number: 20230260895
    Abstract: A semiconductor structure including a first metal line, a top via above and directly contacting the first metal line, a second metal line adjacent to the first metal line, a first dielectric contacting sidewalls of the top via, a second dielectric directly between the first dielectric and the second metal line, and an air gap located between the first metal line and the second metal line, and below both the first dielectric and the second dielectric.
    Type: Application
    Filed: February 17, 2022
    Publication date: August 17, 2023
    Inventors: Kenneth Chun Kuen Cheng, Koichi Motoyama, CHANRO PARK, Chih-Chao Yang
  • Patent number: 11728433
    Abstract: A method of forming a vertical transistor is provided. The method includes forming a first set of vertical fins in a first row on a first bottom source/drain layer, and a second set of vertical fins in a second row on a second bottom source/drain layer, wherein the vertical fins in the same row are separated by a spacing with a sidewall-to-sidewall distance, SD, and the vertical fins in the same column of adjacent rows are separated by a gap having a gap distance, GD. The method further includes forming a gate metal layer on the first set of vertical fins and the second set of vertical fins, wherein the gate metal layer does not fill in the gap between vertical fins in the same column, and forming a cover layer plug in the remaining gap after forming the gate metal layer.
    Type: Grant
    Filed: August 16, 2021
    Date of Patent: August 15, 2023
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Juntao Li, Kangguo Cheng, Ruilong Xie, Chanro Park
  • Publication number: 20230253307
    Abstract: An integrated circuit structure includes a metal line that has an upper surface defining a periphery; a dielectric spacer that is formed around the periphery of the upper surface of the metal line; and a metal via that contacts the metal line and the dielectric spacer adjacent to the periphery of the upper surface. A method for making a semiconductor structure includes depositing a spacer around the periphery of an upper surface of a metal line; and depositing a via onto the metal line, so that a part of the via overlaps the spacer.
    Type: Application
    Filed: February 8, 2022
    Publication date: August 10, 2023
    Inventors: Chanro PARK, Yann MIGNOT, Nicholas Anthony LANZILLO, Chih-Chao YANG
  • Publication number: 20230215806
    Abstract: A structure and a method for fabricating interconnections for an integrated circuit device are described. The method forms a metal interconnection pattern having a first barrier layer and a copper layer in a set of trenches in a first dielectric layer over a substrate. In a selected area, the first dielectric layer is removed to so that the first barrier layer can be removed at the exposed vertical surfaces. A thin second barrier layer is deposited over the exposed vertical surfaces of the first copper layer. A structure includes a first feature formed in a first dielectric layer which has a first barrier layer disposed on vertical surfaces of the first dielectric layer and surrounds opposing vertical surfaces and a bottom surface of a copper layer.
    Type: Application
    Filed: December 31, 2021
    Publication date: July 6, 2023
    Inventors: Chanro Park, Kenneth Chun Kuen Cheng, Koichi Motoyama, Chih-Chao Yang
  • Patent number: 11688646
    Abstract: A method is presented for reducing capacitance coupling. The method includes forming a nanosheet stack including alternating layers of a first material and a second material over a substrate, forming a source/drain epi for a first device, depositing a sacrificial material over the source/drain epi, forming a source/drain epi for a second device over the sacrificial material, and removing the sacrificial material to define an airgap directly between the source/drain epi for the first device and the source/drain epi for the second device.
    Type: Grant
    Filed: August 6, 2021
    Date of Patent: June 27, 2023
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Ruilong Xie, Alexander Reznicek, Chanro Park, Chun-Chen Yeh
  • Publication number: 20230197511
    Abstract: A first metal interconnection pattern is formed over a substrate. A spacer layer is selectively deposited on the exposed surfaces of the first metal interconnection pattern. Subsequently, a metal overburden layer is deposited on the spacer layer. The excess portion of the metal overburden layer is removed, i.e., that portion deposited over a top surface of the metal interconnection pattern and the spacer layer. This forms a second metal interconnection pattern. The elements of the second metal interconnection pattern are located between respective elements of the first metal interconnection pattern.
    Type: Application
    Filed: December 18, 2021
    Publication date: June 22, 2023
    Inventors: Chanro Park, Hseuh-Chung Chen, Koichi Motoyama, Kenneth Chun Kuen Cheng, Chih-Chao Yang
  • Publication number: 20230187278
    Abstract: An interconnect structure that in one embodiment can include a first metal line level having a first metal line, a second metal line level having a second metal line, and a via line level present between the first and second metal line levels. The via line level includes a via interlevel dielectric surrounding a via stack. The via stack may include an interface metal portion that is in contact with the first metal line, a via intralevel dielectric on the interface metal portion, and a cap metal portion in contact with the second metal line and extending through the via intralevel dielectric into contact with the interface metal portion. In some embodiments, the length of the interface metal portion of the via is greater than a width of the interface metal portion of the via stack.
    Type: Application
    Filed: December 15, 2021
    Publication date: June 15, 2023
    Inventors: CHANRO PARK, Koichi Motoyama, Hsueh-Chung Chen
  • Publication number: 20230187341
    Abstract: An electrical communication structure that includes a plurality of metal line levels, a first metal line in a first metal line level of the plurality of line levels, and a second metal line in an upper metal line level of the plurality of line levels. A base of the second metal line is atop a metal etch stop layer that is aligned with edges of the second metal line. The electrical communication structure further includes a via that extends from the first metal line to the second metal line through the plurality of line levels. the via is not in electrical communication with at least one an intermediate metal line within the plurality of line levels between the first metal line level and the upper metal line level. The via has a metal fill that is in direct contact with a metal fill of the first metal line.
    Type: Application
    Filed: December 9, 2021
    Publication date: June 15, 2023
    Inventors: Nicholas Anthony Lanzillo, Koichi Motoyama, Chanro Park, Kenneth Chun Kuen Cheng
  • Publication number: 20230187549
    Abstract: A semiconductor device having a self-aligned contact gate dielectric cap, or “SAC cap” over the gate stack and spacer. A SAC cap ear exists over the sidewall of a top portion of the spacer at a location where no S/D contact is formed. A method of forming the semiconductor device comprises: (i) forming gate stack; (ii) recessing ILD to create topography of the gate stack; (iii) forming selective gate cap deposition over the gate stack; and/or (iv) forming self-aligned contact with respect to the selective gate cap.
    Type: Application
    Filed: December 14, 2021
    Publication date: June 15, 2023
    Inventors: Ruilong Xie, CHANRO PARK, Julien Frougier, Kangguo Cheng, Eric Miller, Ekmini Anuja De Silva
  • Publication number: 20230178588
    Abstract: A MIM capacitor and related methods of fabricating the MIM capacitor. The MIM capacitor includes a bottom capacitor plate including a plurality of trenches defined therein, and a top capacitor plate. The MIM capacitor also includes a capacitor insulating layer disposed between the top capacitor plate and the bottom capacitor plate and within the plurality of trenches. Further, the MIM capacitor includes a first electrode electrically connected to the bottom capacitor plate, and a second electrode electrically connected to the top capacitor plate.
    Type: Application
    Filed: December 6, 2021
    Publication date: June 8, 2023
    Inventors: CHANRO PARK, Koichi Motoyama, Kenneth Chun Kuen Cheng, Chih-Chao Yang
  • Publication number: 20230178602
    Abstract: A method including forming a plurality of nanosheet layers on a substrate and forming a plurality of first sacrificial layers on the substrate, wherein the plurality of nanosheet layers and the plurality of first sacrificial layers are arranged in alternating layers, where the plurality of first sacrificial layers is comprised of a first material. Selectively removing the plurality of first sacrificial layers and forming a plurality of second sacrificial layers where the plurality of first sacrificial layers were removed, where the plurality of second sacrificial layers is comprised of a second material, where the first material and the second material are different. Recessing the plurality of second sacrificial layers at an even rate.
    Type: Application
    Filed: December 6, 2021
    Publication date: June 8, 2023
    Inventors: CHANRO PARK, Kangguo Cheng, Ruilong Xie, JUNTAO LI, ChoongHyun Lee
  • Publication number: 20230178421
    Abstract: Embodiments disclosed herein describe semiconductor devices that include semiconductor structures and methods of forming the semiconductor structures. The methods may include forming a subtractive line from a bottom metal layer and a sacrificial hard mask above the bottom metal layer, depositing a scaffolding material around the subtractive line, forming a via mask over a via portion of the sacrificial hard mask and the scaffolding material, etching the sacrificial hard mask that is not covered by the via mask, to form a sacrificial via, removing the via mask and the scaffolding material, depositing a low-? layer around the subtractive line and the sacrificial via, removing the sacrificial via to form a via hole within the low-? layer, and forming a top via by metallizing the via hole.
    Type: Application
    Filed: December 7, 2021
    Publication date: June 8, 2023
    Inventors: Chanro Park, Koichi Motoyama, Hsueh-Chung Chen, Chih-Chao Yang
  • Publication number: 20230178422
    Abstract: An interconnect structure and a method of forming the interconnect structure are provided. The interconnect structure includes a source drain contact above and contacting a source drain region of a semiconductor device. The interconnect structure also includes a via above and contacting the source drain contact. The via includes a lower portion with an uppermost surface that contacts a lowermost surface of an interlayer dielectric.
    Type: Application
    Filed: December 8, 2021
    Publication date: June 8, 2023
    Inventors: Ruilong Xie, Julien Frougier, Nicolas Loubet, Kangguo Cheng, CHANRO PARK
  • Publication number: 20230170294
    Abstract: Embodiments of the invention include a method of forming an integrated circuit having a single-damascene line-via interconnect. The method includes forming a via trench in a first dielectric layer. A first portion of a barrier layer is formed within the via trench, and a second portion of the barrier layer is formed over the first dielectric layer. A conductive region is formed and includes a conductive via element and a conductive via overburden. The conductive via element is within the via trench; a first portion of the conductive via overburden is over the second portion of the barrier layer; and a second portion of the conductive via overburden is over the conductive via. Planarization is applied to the conductive region and stopped at the second portion of the barrier layer. The conductive via element is coupled at a line-via interface to a conductive line of the single-damascene line-via interconnect.
    Type: Application
    Filed: November 30, 2021
    Publication date: June 1, 2023
    Inventors: Koichi Motoyama, Chanro Park, Hsueh-Chung Chen, Raghuveer Reddy Patlolla, Cornelius Brown Peethala
  • Publication number: 20230170293
    Abstract: The present invention relates to integrated circuits and related method steps for forming an IC chip. The method steps result in semiconductor device structures that include redundant same via level formation using a top via subtractive etch and bottom via from dual damascene etch techniques. In embodiments, the same level redundancy via option is optional. Provision of redundant same via level connections using dual damascene processes improves device resistance and capacitive performance. Further method steps result in semiconductor device structures that include a direct super via connection bypassing subtractive etch metal level via formations. These highlighted method steps increase design flexibility—and reduce device footprint (by skipping a metal level) with the benefit of reduced via connection height and shorter metal connections.
    Type: Application
    Filed: November 29, 2021
    Publication date: June 1, 2023
    Inventors: Yann Mignot, Chanro Park, Jacques Simon, Hsueh-Chung Chen, Chi-Chun Liu