Patents by Inventor Kandabara Tapily

Kandabara Tapily has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20230036597
    Abstract: Aspects of the present disclosure provide a self-aligned microfabrication method, which can include providing a substrate having vertically arranged first and second channel structures, forming first and second sacrificial contacts to cover ends of the first and second channel structures, respectively, covering the first and second sacrificial contacts with a fill material, recessing the fill material such that the second sacrificial contact is at least partially uncovered while the first sacrificial contact remains covered, replacing the second sacrificial contact with a cover spacer, removing a remaining portion of the first fill material, uncovering the end of the first channel structure, forming a first source/drain (S/D) contact to cover the end of the first channel structure, covering the first S/D contact with a second fill material, uncovering the end of the second channel structure, and forming a second S/D contact at the end of the second channel structure.
    Type: Application
    Filed: August 1, 2022
    Publication date: February 2, 2023
    Applicant: Tokyo Electron Limited
    Inventors: Jeffrey SMITH, Daniel CHANEMOUGAME, Lars LIEBMANN, Paul GUTWIN, Subhadeep KAL, Kandabara TAPILY, Anton DEVILLIERS
  • Publication number: 20220416048
    Abstract: Aspects of the present disclosure provide a method, which includes providing a semiconductor structure including a first lower semiconductor device and a first upper semiconductor device stacked vertically over the first lower semiconductor device. The first lower semiconductor device has one or more first lower channels. The first upper semiconductor device has one or more first upper channels. First work function metal (WFM) can cover the first lower channels and the first upper channels. The method can also include recessing the first WFM to uncover the first upper channels of the first upper semiconductor device, depositing a monolayer on uncovered dielectric surfaces of the semiconductor structure, depositing isolation dielectric on the first WFM of the first lower semiconductor device, and depositing second WFM to cover the first upper channels of the first upper semiconductor device. The isolation dielectric isolates the first lower semiconductor device from the first upper semiconductor device.
    Type: Application
    Filed: June 28, 2022
    Publication date: December 29, 2022
    Applicant: Tokyo Electron Limited
    Inventors: Jeffrey SMITH, Lars LIEBMANN, Daniel CHANEMOUGAME, Paul GUTWIN, Kandabara TAPILY, Subhadeep KAL, Robert CLARK
  • Publication number: 20220404713
    Abstract: A method of operating a manufacturing platform includes moving a substrate through the manufacturing platform using one or more transfer modules. A dry resist is deposited on the substrate using a resist deposition module of the manufacturing platform. The substrate is examined for distortion with a metrology system that is part of a transfer module. The dry resist is exposed to UV or EUV radiation using an exposure tool of the manufacturing platform. Exposed or unexposed portions of the dry resist are removed using an etch module of the manufacturing platform.
    Type: Application
    Filed: June 7, 2022
    Publication date: December 22, 2022
    Inventor: Kandabara Tapily
  • Patent number: 11532517
    Abstract: In one embodiment, a method includes providing a substrate comprising a source/drain contact region and a dummy gate, forming a first etch stop layer aligned to the source/drain contact region, where the first etch stop layer does not cover the dummy gate. The method may include forming a second etch stop layer over the first etch stop layer, the second etch stop layer covering the first etch stop layer and the dummy gate. The method may include converting the dummy gate to a metal gate. The method may include removing the second etch stop layer using a plasma etching process. The method may include removing the first etch stop layer.
    Type: Grant
    Filed: February 4, 2020
    Date of Patent: December 20, 2022
    Assignee: Tokyo Electron Limited
    Inventors: Yun Han, Andrew Metz, Xinghua Sun, David L. O'Meara, Kandabara Tapily, Henan Zhang, Shan Hu
  • Patent number: 11456212
    Abstract: A method for forming a fully self-aligned via is provided. A workpiece having a pattern of features in a dielectric layer is received into a common manufacturing platform. Metal caps are deposited on the metal features, and a barrier layer is deposited on the metal caps. A first dielectric layer is added to exposed dielectric material. The barrier layer is removed and an etch stop layer is added on the exposed surfaces of the first dielectric layer and the metal caps. Additional dielectric material is added on top of the etch stop layer, then both the additional dielectric material and a portion of the etch stop layer are etched to form a feature to be filled with metal material. An integrated sequence of processing steps is executed within one or more common manufacturing platforms to provide controlled environments. Transfer modules transfer the workpiece between processing modules within and between controlled environments.
    Type: Grant
    Filed: December 28, 2020
    Date of Patent: September 27, 2022
    Assignee: Tokyo Electron Limited
    Inventors: Robert Clark, Kandabara Tapily, Kai-Hung Yu
  • Patent number: 11398379
    Abstract: A method is provided for self-aligned multi-patterning on a semiconductor workpiece using an integrated sequence of processing steps executed on a common manufacturing platform hosting one or more film-forming modules, one or more etching modules, and one or more transfer modules. A workpiece having a mandrel pattern formed thereon is received into the common manufacturing platform. A sidewall spacer pattern is formed based, at least in part, on the mandrel pattern, the sidewall spacer pattern having a plurality of second features separated by a second pitch distance with the first pitch distance being greater than the second pitch distance. The integrated sequence of processing steps is executed within the common manufacturing platform without leaving the controlled environment and the transfer modules are used to transfer the workpiece between the processing modules while maintaining the workpiece within the controlled environment. Broadly, forming a sidewall spacer pattern based on the mandrel pattern.
    Type: Grant
    Filed: March 18, 2019
    Date of Patent: July 26, 2022
    Assignee: Tokyo Electron Limited
    Inventors: Robert Clark, Richard Farrell, Kandabara Tapily, Angelique Raley, Sophie Thibaut
  • Publication number: 20220223608
    Abstract: Bilayer stack for a ferroelectric tunnel junction and method of forming. The method includes depositing a first metal oxide film on a substrate by performing a first plurality of cycles of atomic layer deposition, where the first metal oxide film contains hafnium oxide, zirconium oxide, or both hafnium oxide and zirconium oxide, depositing a second metal oxide film on the substrate by performing a second plurality of cycles of atomic layer deposition, where the second metal oxide film contains hafnium oxide and zirconium oxide, and has a different hafnium oxide and zirconium oxide content than the first metal oxide film, and heat-treating the substrate to form a ferroelectric phase in the second metal oxide film but not in the first metal oxide film. A ferroelectric tunnel junction includes a first metal-containing electrode, the first metal oxide film, the second metal oxide film, and a second metal-containing electrode.
    Type: Application
    Filed: March 29, 2022
    Publication date: July 14, 2022
    Inventors: Steven Consiglio, Kandabara Tapily, Robert Clark, Dina Triyoso
  • Publication number: 20220181176
    Abstract: A substrate processing method includes (a) providing a substrate in a substrate processing tool, the substrate containing an exposed surface of a first material layer and an exposed surface of a second material layer; (b) forming a self-assembled monolayer (SAM) on the substrate in a first substrate processing chamber (SPC); (c) transferring the substrate from the first SPC through a substrate transfer chamber to a second SPC; (d) depositing a film selectively on the first material layer and film nuclei on the SAM in the second SPC; (e) transferring, after selectively depositing the film on the first material layer, the substrate from the second SPC through the substrate transfer chamber to a third SPC; (f) removing the film nuclei from the SAM by etching in the third SPC; and repeating (b), (c), (d), (e) and (f) sequentially at least once.
    Type: Application
    Filed: February 28, 2022
    Publication date: June 9, 2022
    Applicant: Tokyo Electron Limited
    Inventors: Robert CLARK, Kandabara TAPILY
  • Patent number: 11335599
    Abstract: A semiconductor device includes dielectric layers and local interconnects that are stacked over a substrate alternatively, and extend along a top surface of the substrate laterally. Sidewalls of the dielectric layers and sidewalls of the local interconnects have a staircase configuration. The local interconnects are spaced apart from each other by dielectric layers and have uncovered portions by the dielectric layers. The semiconductor device also includes conductive layers selectively positioned over the uncovered portions of the local interconnects, where sidewalls of the conductive layers and sidewalls of the local interconnects are coplanar. The semiconductor device further includes isolation caps that extend from the dielectric layers. The isolation caps are positioned along sidewalls of the conductive layers and sidewalls of the local interconnects so as to separate the conductive layers from one another.
    Type: Grant
    Filed: December 19, 2019
    Date of Patent: May 17, 2022
    Assignee: Tokyo Electron Limited
    Inventors: Lars Liebmann, Jeffrey Smith, Anton J. deVilliers, Kandabara Tapily
  • Publication number: 20220148924
    Abstract: A method for microfabrication of a three dimensional transistor stack having gate-all-around field-effect transistor devices. The channels hang between source/drain regions. Each channel is selectively deposited with layers of materials designed for adjusting the threshold voltage of the channel. The layers may be oxides, high-k materials, work function materials and metallization. The three dimensional transistor stack forms an array of high threshold voltage devices and low threshold voltage devices in a single package.
    Type: Application
    Filed: January 20, 2022
    Publication date: May 12, 2022
    Applicant: Tokyo Electron Limited
    Inventors: Jeffrey SMITH, Kandabara Tapily, Lars Liebmann, Daniel Chanemougame, Mark Gardner, H. Jim Fulford, Anton J. Devilliers
  • Patent number: 11322401
    Abstract: A method of fabricating a semiconductor device is provided. The method includes forming BPR structures filled with a replacement BPR material, first S/D structures, first replacement silicide layers, and a pre-metallization dielectric that covers the first replacement silicide layers and the first S/D structures. The method also includes forming first interconnect openings in the pre-metallization dielectric and first replacement interconnect layers in the first interconnect openings. The first replacement interconnect layers are connected to the first replacement silicide layers. A thermal process is executed. The method further includes replacing, from a first side of the first wafer, a first group of the first replacement interconnect layers, a first group of the first replacement silicide layers, and the replacement BPR material, and replacing, from a second side of the first wafer, a second group of the first replacement interconnect layers, and a second group of the first replacement silicide layers.
    Type: Grant
    Filed: September 28, 2020
    Date of Patent: May 3, 2022
    Assignee: Tokyo Electron Limited
    Inventors: Jeffrey Smith, Lars Liebmann, Daniel Chanemougame, Hiroki Niimi, Kandabara Tapily, Subhadeep Kal, Jodi Grzeskowiak, Anton Devilliers
  • Publication number: 20220130723
    Abstract: A method of fabricating air gaps in advanced semiconductor devices for low capacitance interconnects. The method includes exposing a substrate to a gas pulse sequence to deposit a material that forms an air gap between raised features.
    Type: Application
    Filed: January 10, 2022
    Publication date: April 28, 2022
    Inventor: Kandabara Tapily
  • Publication number: 20220130864
    Abstract: In method for forming a semiconductor device, a first opening is formed in a dielectric stack that has a cylinder shape with a first sidewall. A first conductive layer is deposited along the first sidewall of the first opening and a first insulating layer is deposited along an inner sidewall of the first conductive layer. The dielectric stack is then etched along an inner sidewall of the first insulating layer so as to form a second opening that extends into the dielectric stack with a second sidewall. A second conductive layer is further formed along the second sidewall of the second opening and a second insulating layer is formed along an inner sidewall of the second conductive layer. A bottom of the second conductive layer is positioned below a bottom of the first conductive layer to form a staggered configuration.
    Type: Application
    Filed: January 6, 2022
    Publication date: April 28, 2022
    Applicant: Tokyo Electron Limited
    Inventors: Lars Liebmann, Jeffrey Smith, Anton J. deVilliers, Kandabara Tapily
  • Patent number: 11302588
    Abstract: A method is provided for area-selective deposition on a semiconductor workpiece using an integrated sequence of processing steps executed on a common manufacturing platform hosting one or more film-forming modules, one or more etching modules, and one or more transfer modules. A workpiece having a target surface of a first material and a non-target surface of a second material different than the first material is received into the common manufacturing platform. An additive material is deposited on the workpiece with selectivity that results in the additive material forming on the target surface at a higher deposition rate than on the non-target surface, followed by etching to expose the non-target surface. The integrated sequence of processing steps is executed within the common manufacturing platform without leaving the controlled environment and the transfer modules are used to transfer the workpiece between the processing modules while maintaining the workpiece within the controlled environment.
    Type: Grant
    Filed: March 18, 2019
    Date of Patent: April 12, 2022
    Assignee: Tokyo Electron Limited
    Inventors: Robert Clark, Kandabara Tapily, Jason Mehigan
  • Publication number: 20220085012
    Abstract: In a method of forming a semiconductor device, a plurality of transistor pairs is formed to be stacked over a substrate. The plurality of transistor pairs have a plurality of gate electrodes that are stacked over the substrate and electrically coupled to gate structures of the plurality of transistor pairs, and a plurality of source/drain (S/D) local interconnects that are stacked over the substrate and electrically coupled to source regions and drain regions of the plurality of transistor pairs. A sequence of vertical and lateral etch steps are performed to etch the plurality of the gate electrodes and the plurality of S/D local interconnects so that the plurality of the gate electrodes and the plurality of S/D local interconnects have a staircase configuration.
    Type: Application
    Filed: November 23, 2021
    Publication date: March 17, 2022
    Applicant: Tokyo Electron Limited
    Inventors: Lars Liebmann, Jeffrey Smith, Anton J. deVilliers, Kandabara Tapily
  • Patent number: 11264254
    Abstract: A substrate processing tool configured for performing integrated substrate processing and substrate metrology, and methods of processing a substrate. The substrate processing tool includes a substrate transfer chamber, a plurality of substrate processing chambers coupled to the substrate transfer chamber, and a substrate metrology module coupled to the substrate transfer chamber. A substrate processing method includes processing a substrate in a first substrate processing chamber of a substrate processing tool, transferring the substrate from the first substrate processing chamber through a substrate transfer chamber to a substrate metrology module in the substrate processing tool, performing metrology on the substrate in the substrate metrology module, transferring the substrate from the substrate metrology module to a second substrate processing chamber through the substrate transfer chamber, and processing the substrate in the second substrate processing chamber.
    Type: Grant
    Filed: March 15, 2019
    Date of Patent: March 1, 2022
    Assignee: Tokyo Electron Limited
    Inventors: Kandabara Tapily, Robert Clark
  • Patent number: 11264274
    Abstract: A first source/drain (S/D) structure of a first transistor is formed on a substrate and positioned at a first end of a first channel structure of the first transistor. A first substitute silicide layer is deposited on a surface of the first S/D structure and made of a first dielectric. A second dielectric is formed to cover the first substitute silicide layer and the first S/D structure. A first interconnect opening is formed subsequently in the second dielectric to uncover the first substitute silicide layer. The first interconnect opening is filled with a first substitute interconnect layer, where the first substitute interconnect layer is made of a third dielectric. Further, a thermal processing of the substrate is executed. The first substitute interconnect layer and the first substitute silicide layer are removed. A first silicide layer is formed on the surfaces of the first S/D structure.
    Type: Grant
    Filed: September 2, 2020
    Date of Patent: March 1, 2022
    Assignee: Tokyo Electron Limited
    Inventors: Jeffrey Smith, Hiroaki Niimi, Jodi Grzeskowiak, Daniel Chanemougame, Lars Liebmann, Kandabara Tapily, Subhadeep Kal, Anton J. deVilliers
  • Patent number: 11264289
    Abstract: A method for microfabrication of a three dimensional transistor stack having gate-all-around field-effect transistor devices. The channels hang between source/drain regions. Each channel is selectively deposited with layers of materials designed for adjusting the threshold voltage of the channel. The layers may be oxides, high-k materials, work function materials and metallization. The three dimensional transistor stack forms an array of high threshold voltage devices and low threshold voltage devices in a single package.
    Type: Grant
    Filed: July 9, 2020
    Date of Patent: March 1, 2022
    Assignee: Tokyo Electron Limited
    Inventors: Jeffrey Smith, Kandabara Tapily, Lars Liebmann, Daniel Chanemougame, Mark Gardner, H. Jim Fulford, Anton J. Devilliers
  • Publication number: 20220051905
    Abstract: Techniques herein provide thermal processing solutions applicable to both existing FINFET applications, including wrap-around contacts, as well as 3D architectures such as transistor-on-transistor and gate-on-gate monolithic or heterogeneous CFET. Techniques include heating or annealing a first target material without heating or affecting performance of a second material or other materials. Techniques include using a first heating process to heat a substrate and materials provided thereon to a first temperature, and then using a wavelength/frequency tunable second heating process to increase temperature of the target material without increasing temperature of the second material or other materials.
    Type: Application
    Filed: March 9, 2021
    Publication date: February 17, 2022
    Applicant: Tokyo Electron Limited
    Inventors: Jeffrey SMITH, Hiroaki NIIMI, Daniel CHANEMOUGAME, Lars LIEBMANN, H. Jim FULFORD, Mark I. GARDNER, Kandabara TAPILY, Anton J. DEVILLIERS
  • Patent number: 11251077
    Abstract: A method of fabricating air gaps in advanced semiconductor devices for low capacitance interconnects. The method includes exposing a substrate to a gas pulse sequence to deposit a material that forms an air gap between raised features.
    Type: Grant
    Filed: April 9, 2019
    Date of Patent: February 15, 2022
    Assignee: Tokyo Electron Limited
    Inventor: Kandabara Tapily