Patents by Inventor Lawrence A. Clevenger

Lawrence A. Clevenger has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20240096693
    Abstract: A method is presented forming a fully-aligned via (FAV) and airgaps within a semiconductor device. The method includes forming a plurality of copper (Cu) trenches within an insulating layer, forming a plurality of ILD regions over exposed portions of the insulating layer, selectively removing a first section of the ILD regions in an airgap region, and maintaining a second section of the ILD regions in a non-airgap region. The method further includes forming airgaps in the airgap region and forming a via in the non-airgap region contacting a Cu trench of the plurality of Cu trenches.
    Type: Application
    Filed: April 25, 2023
    Publication date: March 21, 2024
    Inventors: Christopher J. Penny, Benjamin D. Briggs, Huai Huang, Lawrence A. Clevenger, Michael Rizzolo, Hosadurga Shobha
  • Publication number: 20240096692
    Abstract: A semiconductor device and formation thereof. The semiconductor device includes a first via in a metal layer, wherein the first via is a single damascene structure. The semiconductor device further includes a second via in the metal level, wherein the second via is a dual damascene structure.
    Type: Application
    Filed: September 21, 2022
    Publication date: March 21, 2024
    Inventors: Nicholas Anthony Lanzillo, Albert M. Chu, Lawrence A. Clevenger
  • Publication number: 20240088038
    Abstract: A semiconductor device having a source/drain having a height, a length, and a width. A full wrap-around contact surrounds a partial length of the source/drain. The full wrap-around contact includes a frontside recessed wrap-around contact from a front side of the source/drain and a backside conductive contact from a back side of the source/drain.
    Type: Application
    Filed: September 14, 2022
    Publication date: March 14, 2024
    Inventors: Ruilong Xie, Kisik Choi, Brent A. Anderson, Lawrence A. Clevenger
  • Publication number: 20240088037
    Abstract: A semiconductor device that includes a first via connecting a backside of the semiconductor device to a frontside of the semiconductor device, and a second via connecting the backside of the semiconductor device to the frontside of the semiconductor device. The first via and the second via are directly connected to at least one different wiring level on the frontside or the backside.
    Type: Application
    Filed: September 13, 2022
    Publication date: March 14, 2024
    Inventors: Brent A. Anderson, Nicholas Anthony Lanzillo, Ruilong Xie, Lawrence A. Clevenger, Albert M. Chu, Nicholas Alexander POLOMOFF
  • Publication number: 20240088018
    Abstract: A skip-level via structure is provided that electrically connects a third level of interconnect wiring to a first level of interconnect wiring or a fourth level of interconnect wiring to a first level of interconnect wiring. In the first instance, the skip-level via structure enables connection even when the first level of interconnect wiring and the third level of interconnect wiring do not line up. In the second instance, the skip-level via structure enables a low resistance connection of the fourth level of interconnect wiring to the first level of interconnect wiring due to increased contact area.
    Type: Application
    Filed: September 13, 2022
    Publication date: March 14, 2024
    Inventors: Nicholas Anthony Lanzillo, Lawrence A. Clevenger, Brent A. Anderson, Ruilong Xie, REINALDO VEGA, Albert M. Chu
  • Publication number: 20240088036
    Abstract: A microelectronic structure including a plurality of electronic devices. A plurality of frontside contacts, where each of the plurality of frontside contacts is connected to a frontside of one of the plurality electronic devices, respectively. Each of the plurality of frontside contacts is a same first electric potential. A plurality of backside contacts, where the plurality of backside contacts is connected to a backside of one of the plurality of electronic devices, respectively. Each of the plurality of backside contacts is a same second electrical potential, where the first electrical potential is different than the second electrical potential.
    Type: Application
    Filed: September 12, 2022
    Publication date: March 14, 2024
    Inventors: Nicholas Anthony Lanzillo, Huai Huang, Ruilong Xie, Hosadurga Shobha, Lawrence A. Clevenger
  • Publication number: 20240079446
    Abstract: Embodiments of the invention include a transistor comprising a gate region and an epitaxial region, the transistor comprising a frontside opposite a backside.
    Type: Application
    Filed: September 2, 2022
    Publication date: March 7, 2024
    Inventors: Ruilong Xie, Shogo Mochizuki, Daniel Charles Edelstein, Lawrence A. Clevenger, Brent A. Anderson, Kisik Choi, Chanro Park, Christian Lavoie, Cornelius Brown Peethala, SON NGUYEN
  • Publication number: 20240079325
    Abstract: Embodiments of the present invention are directed to processing methods and resulting structures having hybrid backside dielectrics. In a non-limiting embodiment of the invention, a front end of line structure is formed and a back end of line structure is formed on a first surface of the front end of line structure. A backside power delivery network is formed on a second surface of the front end of line structure opposite the first surface. The backside power delivery network includes a first set of interconnect lines in a first metallization level, a second set of interconnect lines in the first metallization level, and a hybrid backside dielectric structure. The hybrid backside dielectric structure includes a first dielectric material and a second dielectric material. The first set of interconnect lines are embedded in the first dielectric material and the second set of interconnect lines are embedded in the second dielectric material.
    Type: Application
    Filed: September 1, 2022
    Publication date: March 7, 2024
    Inventors: Nicholas Anthony Lanzillo, Ruilong Xie, Huai Huang, Hosadurga Shobha, Lawrence A. Clevenger
  • Publication number: 20240079326
    Abstract: An IC memory device includes a substrate and an array of memory cells on the substrate. Each memory cell includes at least one memory cell transistor in a layer of the device adjacent to the substrate. In the same layer, the device also includes a plurality of shunt transistors. The device also includes a buried metal signal rail, which is disposed between the array of memory cells and the plurality of shunt transistors in a buried layer that is embedded into the substrate below the transistors. The device also includes single-layer vias, which are in same layer as the transistors and electrically connect the memory cell transistors to the shunt transistors through the buried metal signal rail.
    Type: Application
    Filed: September 6, 2022
    Publication date: March 7, 2024
    Inventors: Biswanath Senapati, SEIJI MUNETOH, Nicholas Anthony Lanzillo, Lawrence A. Clevenger, Geoffrey Burr, Kohji Hosokawa
  • Publication number: 20240079462
    Abstract: A semiconductor structure comprises a vertical transistor, a first contact connecting to a source/drain region at a first side of the vertical transistor, a second contact extending from the first side of the vertical transistor to a second side of the vertical transistor, and an interconnect structure at the first side of the vertical transistor connecting the first contact to the second contact.
    Type: Application
    Filed: September 1, 2022
    Publication date: March 7, 2024
    Inventors: Ruilong Xie, Brent A. Anderson, Lawrence A. Clevenger, Nicholas Anthony Lanzillo, Reinaldo Vega, Albert M. Chu
  • Publication number: 20240079295
    Abstract: Devices and methods of forming the same include a first conductive line having a top surface at a first height above an underlying layer. A second conductive line, parallel to the first conductive line, has a second height above the underlying layer that is greater than the first height. A first interlayer dielectric layer, between the first conductive line and the second conductive line, has a top surface at a third height above the underlying layer that is greater than the first height and that is less than the second height.
    Type: Application
    Filed: September 2, 2022
    Publication date: March 7, 2024
    Inventors: Ruilong Xie, Nicholas Anthony Lanzillo, Hosadurga Shobha, Huai Huang, Lawrence A Clevenger
  • Publication number: 20240079333
    Abstract: A dual structure buried rail includes an upper rail and a lower rail. The upper rail may be inset relative to the lower rail. In other words, the lower rail may be wider than the upper rail, and/or the lower rail may have a larger geometrical volume than the upper rail. The upper rail may be located at a boundary of, and/or directly next to, an active device region and the lower rail may extend directly underneath at least a portion of the active device region. The lower rail may extend the entire length of the upper rail. The dual structure buried rail may reduce buried rail resistance which may reduce voltage drop thereacross and provide for improved semiconductor device and/or active device region performance. The dual structure buried rail may provide power potential delivery, provide potential sinking, or the like, to one or more active device region(s).
    Type: Application
    Filed: September 7, 2022
    Publication date: March 7, 2024
    Inventors: Huai Huang, Nicholas Anthony Lanzillo, Ruilong Xie, Hosadurga Shobha, Lawrence A. Clevenger
  • Publication number: 20240071929
    Abstract: A semiconductor interconnect structure comprises a substrate, a plurality of metal lines disposed relative to the substrate and a plurality of first and second caps disposed on the metal lines wherein the first caps comprise a first dielectric material and the second caps comprise a second dielectric material different from the first dielectric material.
    Type: Application
    Filed: August 31, 2022
    Publication date: February 29, 2024
    Inventors: Nicholas Anthony Lanzillo, Ruilong Xie, Lawrence A. Clevenger, Hosadurga Shobha, Huai Huang
  • Publication number: 20240071920
    Abstract: A semiconductor apparatus includes a substrate; a first conductive feature disposed on the substrate, the first conductive feature comprising a conductive material; a second conductive feature disposed on the substrate, the second conductive feature comprising the conductive material; a dielectric material at least partially surrounding the first conductive feature and the second conductive feature; and an interconnect between the first conductive feature and the second conductive feature, the interconnect comprising the conductive material integral with the first conductive feature and the second conductive feature and extending through the dielectric material and below the first conductive feature and the second conductive feature.
    Type: Application
    Filed: August 26, 2022
    Publication date: February 29, 2024
    Inventors: Nicholas Anthony Lanzillo, Brent A. Anderson, Albert M. Chu, Lawrence A. Clevenger, Ruilong Xie, Reinaldo Vega
  • Publication number: 20240071836
    Abstract: A semiconductor structure is presented including a first dielectric isolation pillar disposed between a pair of p-type field effect transistors (pFETs), a second dielectric isolation pillar disposed between a pair of n-type FETs (nFETs), a first source/drain (S/D) epi region having a first contact electrically connected to a backside power delivery network (BSPDN), the first contact being disposed on one side of the first dielectric isolation pillar, and a second S/D epi region having a second contact electrically connected to back-end-of-line (BEOL) components, the second contact being disposed on the other side of the first dielectric isolation pillar.
    Type: Application
    Filed: August 30, 2022
    Publication date: February 29, 2024
    Inventors: Ruilong Xie, Chanro Park, Kangguo Cheng, Julien Frougier, Lawrence A. Clevenger
  • Publication number: 20240063283
    Abstract: A backside power distribution network is provided having an integrated signal line with a backside connection to a transistor gate. In one aspect, a semiconductor device includes: NFETs and PFETs adjacent to one another on a frontside of a wafer; power rails, connected to source/drain regions of the NFETs and PFETs, present on a backside of the wafer in a space between adjacent NFETs and in a space between adjacent PFETs; and a signal line, connected to a gate of the NFETs and PFETs, present on the backside of the wafer in a space between an adjacent NFET and PFET. The NFETs and PFETs can each include a stack of active layers, and gates surrounding at least a portion of each of the active layers in a gate-all-around configuration. A method of fabricating the present semiconductor devices is provided.
    Type: Application
    Filed: August 17, 2022
    Publication date: February 22, 2024
    Inventors: Ruilong Xie, Lawrence A. Clevenger, Brent A. Anderson, Kisik Choi, Daniel Charles Edelstein
  • Publication number: 20240057345
    Abstract: A back side contact structure is provided that directly connects a first electrode of a MRAM, which is present in a back side of a wafer, to a source/drain structure of a transistor. The back side contact is self-aligned to the source/drain structure of the transistor as well as to the first electrode of the MRAM. The close proximity between the MRAM and the source/drain structure increases the speed of the device. MRAM yield is not compromised since no re-sputtering of back side contact metal onto the MRAM occurs.
    Type: Application
    Filed: August 9, 2022
    Publication date: February 15, 2024
    Inventors: Ruilong Xie, Nicholas Anthony Lanzillo, Koichi Motoyama, Brent A. Anderson, Michael Rizzolo, Lawrence A. Clevenger
  • Publication number: 20240055477
    Abstract: Embodiments of the invention include a first source region and a first drain region forming a first L-shaped layout. Embodiments include a second source region and a second drain region forming a second L-shaped layout, the first L-shaped layout and the second L-shaped layout being interrupted by a gate.
    Type: Application
    Filed: August 11, 2022
    Publication date: February 15, 2024
    Inventors: Ruilong Xie, Nicholas Anthony Lanzillo, Albert M. Chu, DANIEL JAMES DECHENE, Eric Miller, Lawrence A. Clevenger
  • Publication number: 20240055441
    Abstract: A semiconductor device includes an integrated circuit chip having a frontside and a backside. The frontside includes a frontside signal line configured to transmit signals to a first terminal of a transistor arranged in the integrated circuit chip, and the backside includes a backside power line configured to transmit power to a second terminal of the transistor. The semiconductor device further includes a contact configured to connect a gate of the transistor to a backside signal line configured to transmit signals to the gate of the transistor. The semiconductor device further includes a via extending through the frontside and the backside of the integrated circuit chip. The via is configured to transmit signals between a lowermost contact on the frontside and an uppermost contact on the backside of the integrated circuit chip.
    Type: Application
    Filed: August 15, 2022
    Publication date: February 15, 2024
    Inventors: Tao Li, Ruilong Xie, Chih-Chao Yang, Lawrence A. Clevenger
  • Patent number: 11901224
    Abstract: Metal interconnect structures are reworked to address possible voids or other defects. Etching of initially deposited interconnect metal to open voids is followed by reflow to accumulate interconnect metal at the bottoms of trenches. Additional interconnect metal is deposited over the initially deposited interconnect metal by electroplating and/or electroless plating. Additional diffusion barrier material may be deposited and patterned prior to deposition of the additional interconnect material.
    Type: Grant
    Filed: April 24, 2020
    Date of Patent: February 13, 2024
    Assignee: International Business Machines Corporation
    Inventors: Prasad Bhosale, Terry A. Spooner, Chih-Chao Yang, Lawrence A. Clevenger