Patents by Inventor Tenko Yamashita

Tenko Yamashita has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20240120380
    Abstract: Embodiments of present invention provide a semiconductor structure. The semiconductor structure includes a first nanosheet transistor having a first source/drain (S/D) region; and a second nanosheet transistor on top of the first nanosheet transistor, the second nanosheet transistor having a second S/D region, the second S/D region being separated from the first S/D region by a dielectric cap layer, wherein the first S/D region of the first nanosheet transistor has a substantially flat top surface adjacent to the dielectric cap layer and has at least one vertical edge that is substantially aligned with an edge of the dielectric cap layer. A method of manufacturing the semiconductor structure is also provided.
    Type: Application
    Filed: October 10, 2022
    Publication date: April 11, 2024
    Inventors: Chen Zhang, Ruilong Xie, Shogo Mochizuki, Tenko Yamashita
  • Publication number: 20240079266
    Abstract: Semiconductor devices having air gap spacers that are formed as part of BEOL or MOL layers of the semiconductor devices are provided, as well as methods for fabricating such air gap spacers. For example, a method comprises forming a first metallic structure and a second metallic structure on a substrate, wherein the first and second metallic structures are disposed adjacent to each other with insulating material disposed between the first and second metallic structures. The insulating material is etched to form a space between the first and second metallic structures. A layer of dielectric material is deposited over the first and second metallic structures using a pinch-off deposition process to form an air gap in the space between the first and second metallic structures, wherein a portion of the air gap extends above an upper surface of at least one of the first metallic structure and the second metallic structure.
    Type: Application
    Filed: April 7, 2023
    Publication date: March 7, 2024
    Inventors: Kangguo Cheng, Thomas J. Haigh, Juntao Li, Eric G. Liniger, Sanjay C. Mehta, Son V. Nguyen, Chanro Park, Tenko Yamashita
  • Publication number: 20240047525
    Abstract: A semiconductor device includes a substrate; a first nanosheet transistor, which is located on the substrate, that has a first inter-channel spacing and that has a thin gate oxide layer; and a second nanosheet transistor, which is located on the substrate, that has a second inter-channel spacing that is greater than the first inter-channel spacing and that has a thick gate oxide layer that is thicker than the thin gate oxide layer of the first nanosheet transistor. The second nanosheet transistor comprises channel structures and a source/drain structure that wraps around the ends of the channel structures. In embodiments, there are inner spaces at ends of the gate stacks in the first transistor, but not at the ends of the gate stacks in the second transistor.
    Type: Application
    Filed: August 8, 2022
    Publication date: February 8, 2024
    Inventors: Ruilong Xie, Tenko Yamashita, Teresa J. Wu, Chen Zhang
  • Patent number: 11894303
    Abstract: A semiconductor structure includes a three-dimensional stacked transistor structure including first and second field-effect transistors of a first type at a first vertical level and third and fourth field-effect transistors of a second type at a second vertical level disposed over the first vertical level. The semiconductor structure also includes a first gate structure shared between the first and second field-effect transistors at the first vertical level, a second gate structure shared between the third and fourth field-effect transistors at the second vertical level, and a gate contact shared by the first and second gate structures. The wherein the first and second gate structures are vertically aligned with another in a layout of the three-dimensional stacked transistor structure between source drain/regions of the first, second, third and fourth field-effect transistors.
    Type: Grant
    Filed: December 22, 2020
    Date of Patent: February 6, 2024
    Assignee: International Business Machines Corporation
    Inventors: Dongbing Shao, Chen Zhang, Zheng Xu, Tenko Yamashita
  • Publication number: 20240014212
    Abstract: A stacked FET architecture includes isolated pockets for replacement metal gates for top and bottom nanosheet field-effect transistors. Different work function metals are employed for the metal gates of n-type and p-type FETs. The architecture allows flexibility in providing electrically connected or unconnected metal gates.
    Type: Application
    Filed: July 5, 2022
    Publication date: January 11, 2024
    Inventors: Chen Zhang, Tenko Yamashita
  • Publication number: 20230420458
    Abstract: A plurality of transistor components, a system, and a method of forming a vertically stacked transistor structure within a wafer. The plurality of transistor components may include a first bottom transistor, where the first bottom transistor includes a channel, a gate, a source, and a drain. The plurality of transistor components may also include a first contact on top of the first bottom transistor, where the first contact is proximately connected to the first bottom transistor. The plurality of transistor components may also include a first set of stacked transistors, where the first set of stacked transistors includes a second top transistor on top of a second bottom transistor.
    Type: Application
    Filed: June 23, 2022
    Publication date: December 28, 2023
    Inventors: Joshua M. Rubin, Chen Zhang, Tenko Yamashita, Brent A. Anderson
  • Publication number: 20230411290
    Abstract: A semiconductor structure includes a front-end-of-line level including a plurality of field effect transistors electrically connected to a back-end-of-line interconnect level located on a first side of the front-end-of-line level. A plurality of shallow trench isolation regions are located between adjacent field effect transistors, each of the plurality of shallow trench isolation regions being surrounded by a dielectric isolation liner. A backside power rail is located within a backside interlayer dielectric located on a second side of the front-end-of-line level opposing the first side of the front-end-of-line level. A via-to-backside power rail embedded, at least in part, within a shallow trench isolation region is located between two field effect transistors of a similar polarity, the via-to-backside power rail is adjacent and electrically connected to at least one metal contact and extends from the at least one metal contact to a first surface of the backside power rail.
    Type: Application
    Filed: May 24, 2022
    Publication date: December 21, 2023
    Inventors: Ruilong Xie, Kisik Choi, Junli Wang, Tenko Yamashita
  • Patent number: 11847398
    Abstract: Ground rule verification (“GRV”) design layouts may be automatically generated based on one or more design macros. The GRV design layout may be tested based on the one or more design macros by violating one or more ground rules using one or more GRV ranges. The testing may include electrical testing of the one or more GRV design layouts based on the one or more design macros. The one or more ground rules may be automatically selected and approved the based upon a degree of violation acceptability.
    Type: Grant
    Filed: May 21, 2021
    Date of Patent: December 19, 2023
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Jingyu Lian, Shruthi Venkateshan, Tenko Yamashita, Jinning Liu
  • Publication number: 20230354592
    Abstract: A semiconductor device is provided. The semiconductor device includes a metal-oxide-semiconductor field-effect-transistor (MOSFET) device electrically attachable to a first data line and a read-only memory (ROM) element. The ROM element is electrically interposable between the MOSFET device and a second data line. The ROM element includes first and second sets of memory cells in high and low resistance states, respectively, to form a secure identifier (ID).
    Type: Application
    Filed: July 7, 2023
    Publication date: November 2, 2023
    Inventors: Tenko Yamashita, Effendi Leobandung
  • Publication number: 20230309324
    Abstract: A high density memory apparatus includes a plurality of transistors vertically stacked on top of each other. The plurality of transistors share a common source structure, but each of the plurality of transistors has its own horizontal nanosheet and gate stack that are separate from respective horizontal channel structures and gate stacks of the others of the plurality of transistors. Ends of the nanosheets distal from the gate stacks are doped to act as drains for the transistors. Each of a plurality of two-terminal memory units is electrically connected to the drain end of a corresponding one of the nanosheets. Some embodiments achieve in excess of 5000 memory bits/square micrometer (?m2); in some embodiments, in excess of 6000 bits/?m2.
    Type: Application
    Filed: March 26, 2022
    Publication date: September 28, 2023
    Inventors: Heng Wu, Tenko Yamashita, Sanjay C. Mehta, Junli Wang
  • Patent number: 11769796
    Abstract: A semiconductor device formed by forming a stack of alternating horizontal nanosheet layers, recessing the stack for an n-type field effect transistor (nFET), growing crystalline semiconductor adjacent to the stack, forming vertical nanosheets from the crystalline semiconductor, forming inner spacers between the vertical nanosheets, and forming a high-k metal gate structure around the horizontal nanosheets and the vertical nanosheets.
    Type: Grant
    Filed: September 1, 2021
    Date of Patent: September 26, 2023
    Assignee: International Business Machines Corporation
    Inventors: Chen Zhang, Ruilong Xie, Tenko Yamashita
  • Publication number: 20230299053
    Abstract: A semiconductor device is provided and includes a first substrate including a first transistor; a laser reflection layer on the first transistor; and a second substrate on the laser reflection layer, the second substrate including a second transistor.
    Type: Application
    Filed: March 17, 2022
    Publication date: September 21, 2023
    Inventors: Teresa J. Wu, Tenko Yamashita, Heng Wu, Junli Wang
  • Patent number: 11764259
    Abstract: A vertical field-effect transistor includes a substrate comprising a semiconductor material; a first set of fins formed from the semiconductor material and extending vertically with respect to the substrate; and a second set of fins extending vertically with respect to the substrate, wherein ones of the second set of fins abut ones of the first set of fins. The second set of fins comprises a dielectric material.
    Type: Grant
    Filed: July 23, 2021
    Date of Patent: September 19, 2023
    Assignee: International Business Machines Corporation
    Inventors: Chen Zhang, Tenko Yamashita, Xin Miao, Wenyu Xu, Kangguo Cheng
  • Patent number: 11756957
    Abstract: A semiconductor device structure and method for fabricating the same. The semiconductor device structure includes a first vertical transport field effect transistor (VTFET) comprising at least a first gate structure having a first gate length, and a second VTFET stacked on the first VTFET and comprising at least a second gate structure having a second gate length that is less than the first gate length. The method includes forming, on a substrate, a first VTFET including at least a first gate structure having a first gate length. The method further includes forming a second VTFET stacked on the first VTFET and including at least a second gate structure having a second gate length that is less than the first gate length.
    Type: Grant
    Filed: May 17, 2021
    Date of Patent: September 12, 2023
    Assignee: International Business Machines Corporation
    Inventors: Heng Wu, Chen Zhang, Kangguo Cheng, Tenko Yamashita, Joshua M. Rubin
  • Patent number: 11757012
    Abstract: A technique relates to a semiconductor device. A source or drain (S/D) contact liner is formed on one or more S/D regions. Annealing is performed to form a silicide layer around the one or more S/D regions, the silicide layer being formed at an interface between the S/D contact liner and the S/D regions. A block layer is formed into a pattern over the one or more S/D regions, such that a portion of the S/D contact liner is protected by the block layer. Unprotected portions of the S/D contact liner are removed, such that the S/D contact liner protected by the block layer remains over the one or more S/D regions. The block layer and S/D contacts are formed on the S/D contact liner over the one or more S/D regions.
    Type: Grant
    Filed: November 19, 2019
    Date of Patent: September 12, 2023
    Assignee: International Business Machines Corporation
    Inventors: Andrew Greene, Dechao Guo, Tenko Yamashita, Veeraraghavan S. Basker, Robert Robison, Ardasheir Rahman
  • Patent number: 11744065
    Abstract: A semiconductor device is provided. The semiconductor device includes a metal-oxide-semiconductor field-effect-transistor (MOSFET) device electrically attachable to a first data line and a read-only memory (ROM) element. The ROM element is electrically interposable between the MOSFET device and a second data line. The ROM element includes first and second sets of memory cells in high and low resistance states, respectively, to form a secure identifier (ID).
    Type: Grant
    Filed: September 22, 2021
    Date of Patent: August 29, 2023
    Assignee: International Business Machines Corporation
    Inventors: Tenko Yamashita, Effendi Leobandung
  • Publication number: 20230238285
    Abstract: Embodiments of present invention provide a semiconductor device. The semiconductor device includes a silicon (Si) substrate containing a set of short channel field-effect-transistors (FETs); a germanium (Ge) layer on top of the Si substrate containing a set of long channel p-type FETs (PFETs); and an oxide semiconductor layer on top of the Ge layer containing a set of long channel n-type FETs (NFETs), wherein the set of short channel FETs, long channel PFETs, and long channel NFETs are interconnected through a set of far-back-end-of-line (FBEOL) layers.
    Type: Application
    Filed: January 25, 2022
    Publication date: July 27, 2023
    Inventors: Heng Wu, Junli Wang, Teresa J. Wu, Tenko Yamashita
  • Patent number: 11658062
    Abstract: Semiconductor devices having air gap spacers that are formed as part of BEOL or MOL layers of the semiconductor devices are provided, as well as methods for fabricating such air gap spacers. For example, a method comprises forming a first metallic structure and a second metallic structure on a substrate, wherein the first and second metallic structures are disposed adjacent to each other with insulating material disposed between the first and second metallic structures. The insulating material is etched to form a space between the first and second metallic structures. A layer of dielectric material is deposited over the first and second metallic structures using a pinch-off deposition process to form an air gap in the space between the first and second metallic structures, wherein a portion of the air gap extends above an upper surface of at least one of the first metallic structure and the second metallic structure.
    Type: Grant
    Filed: May 13, 2019
    Date of Patent: May 23, 2023
    Assignee: TESSERA LLC
    Inventors: Kangguo Cheng, Thomas J. Haigh, Juntao Li, Eric G. Liniger, Sanjay C. Mehta, Son V. Nguyen, Chanro Park, Tenko Yamashita
  • Patent number: 11652006
    Abstract: A method of fabricating a complementary metal-oxide-semiconductor device is provided. The method includes forming a work function material layer segment on a gate dielectric layer over a first vertical fin and a bottom spacer layer on an n-type bottom source/drain adjoining the first vertical fin on a first region of a substrate, wherein the gate dielectric layer is also over a second vertical fin, bottom spacer layer on a p-type bottom source/drain adjoining the second vertical fin on a second region. The method further includes heat treating the work function material layer segment to produce a modified work function material layer segment on the first vertical fin with a shifted work function value, forming a second work function material layer on the modified work function material layer segment and the gate dielectric layer on the second vertical fin, and growing a top source/drain on each of the vertical fins.
    Type: Grant
    Filed: January 18, 2022
    Date of Patent: May 16, 2023
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Tenko Yamashita, Chen Zhang, Teresa Jacqueline Wu
  • Publication number: 20230122234
    Abstract: Techniques regarding anchors for fins comprised within stacked VTFET devices are provided. For example, one or more embodiments described herein can comprise an apparatus, which can further comprise a fin extending from a semiconductor body. The fin can be comprised within a stacked vertical transport field effect transistor device. The apparatus can also comprise a dielectric anchor extending from the semiconductor body and adjacent to the fin. Further, the dielectric anchor can be coupled to the fin.
    Type: Application
    Filed: December 19, 2022
    Publication date: April 20, 2023
    Inventors: Chen Zhang, Kangguo Cheng, Tenko Yamashita, Wenyu Xu, Fee Li Lie