Metal-bump sidewall protection
A method includes forming a metal bump on a top surface of a first package component, forming a solder region on a top surface of the metal bump, forming a protection layer extending on a sidewall of the metal bump, reflowing the solder region to bond the first package component to a second package component, and dispensing an underfill between the first package component and the second package component. The underfill is in contact with the protection layer.
Latest Taiwan Semiconductor Manufacturing Company, Ltd. Patents:
With the evolving of semiconductor technologies, semiconductor chips/dies are becoming increasingly smaller. In the meantime, more functions need to be integrated into the semiconductor dies. Accordingly, the semiconductor dies need to have increasingly greater numbers of I/O pads packed into smaller areas, and the density of the I/O pads rises quickly over time. As a result, the packaging of the semiconductor dies becomes more difficult, which adversely affects the yield of the packaging.
In conventional packaging methods, a Package-on-Package (PoP) structure may be formed. The PoP structure includes a bottom package, and a top package bonded to the bottom package. To form the bottom package, a device die is first molded in a molding compound, with the metal bumps of the device die exposed through the molding compound. Redistribution Lines (RDLs) that are used for rerouting electrical signal to a greater area than the device die are then formed on the molding compound and the device die. Metal bumps and/or solder regions may be formed, which are used to join the bottom package to the top package.
Another packaging method is known as Chip-on-Wafer-on-Substrate (CoWoS). In the respective packaging, a first plurality of device dies are first bonded to a wafer, which includes a second plurality of device dies therein. The bonding may be through micro bumps or solder regions. An underfill is then dispensed into the gaps between the first plurality of device dies and the second plurality of device dies. The wafer is then singulated into a plurality of packages. Each of the packages is bonded to a package substrate, for example, through solder regions. Another underfill is then dispensed between the package and the package substrate that are bonded together.
Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
The following disclosure provides many different embodiments, or examples, for implementing different features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
Further, spatially relative terms, such as “underlying,” “below,” “lower,” “overlying,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
A package and the method of forming the same are provided in accordance with some embodiments. The intermediate stages in the formation of the package are illustrated in accordance with some embodiments. Some variations of some embodiments are discussed. Throughout the various views and illustrative embodiments, like reference numbers are used to designate like elements.
In accordance with some embodiments of the present disclosure, dielectric protection layers are formed on the sidewalls of metal bumps, so that the sidewalls of the metal bumps are not wettable to solder. As a result, the solder regions that bond the metal bumps to other package components will not be able to migrate/wet on the sidewalls of the metal bumps. The void in the solder regions caused by the solder migration is thus avoided.
In accordance with some embodiments of the present disclosure, package component 20 includes semiconductor substrate 24 and the features formed at a top surface of semiconductor substrate 24. Semiconductor substrate 24 may be formed of crystalline silicon, crystalline germanium, silicon germanium, or a III-V compound semiconductor such as GaN, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, GaInAsP, or the like. Semiconductor substrate 24 may also be a bulk semiconductor substrate or a Semiconductor-On-Insulator (SOI) substrate. Shallow Trench Isolation (STI) regions (not shown) may be formed in semiconductor substrate 24 to isolate the active regions in semiconductor substrate 24. Although not shown in
In accordance with some embodiments of the present disclosure, package component 20 includes integrated circuit devices 26, which may include some portions formed on the top surface of semiconductor substrate 24. Integrated circuit devices 26 may include Complementary Metal-Oxide Semiconductor (CMOS) transistors, resistors, capacitors, diodes, and the like in accordance with some embodiments. The details of integrated circuit devices 26 are not illustrated herein. In accordance with alternative embodiments, package component 20 is used for forming interposers, and substrate 24 may be a semiconductor substrate or a dielectric substrate.
Inter-Layer Dielectric (ILD) 28 is formed over semiconductor substrate 24 and fills the space between the gate stacks of transistors (not shown) in integrated circuit devices 26. In accordance with some embodiments, ILD 28 is formed of Phospho Silicate Glass (PSG), Boro Silicate Glass (BSG), Boron-doped Phospho Silicate Glass (BPSG), Fluorine-doped Silicate Glass (FSG), Tetra Ethyl Ortho Silicate (TEOS) oxide, or the like. In accordance with some embodiments of the present disclosure, ILD 28 is formed using a deposition method such as Plasma-Enhanced Chemical Vapor Deposition (PECVD), Low Pressure Chemical Vapor Deposition (LPCVD), spin-on coating, Flowable Chemical Vapor Deposition (FCVD), or the like.
Contact plugs (not shown) are formed in ILD 28, and are used to electrically connect integrated circuit devices 26 to overlying metal lines and vias. In accordance with some embodiments of the present disclosure, the contact plugs are formed of a conductive material selected from tungsten, aluminum, copper, titanium, tantalum, titanium nitride, tantalum nitride, alloys therefore, and/or multi-layers thereof. The formation of the contact plugs may include forming contact openings in ILD 28, filling a conductive material(s) into the contact openings, and performing a planarization (such as a Chemical Mechanical Polish (CMP) process or a mechanical grinding process) to level the top surfaces of the contact plugs with the top surface of ILD 28.
Over ILD 28 and the contact plugs is interconnect structure 32. Interconnect structure 32 includes metal lines 34 and vias 36, which are formed in dielectric layers 38 (also referred to as Inter-metal Dielectrics (IMDs)). The metal lines at a same level are collectively referred to as a metal layer hereinafter. In accordance with some embodiments of the present disclosure, interconnect structure 32 includes a plurality of metal layers including metal lines 34 that are interconnected through vias 36. Metal lines 34 and vias 36 may be formed of copper or copper alloys, and they can also be formed of other metals. In accordance with some embodiments of the present disclosure, dielectric layers 38 are formed of low-k dielectric materials. The dielectric constants (k values) of the low-k dielectric materials may be lower than about 3.0, for example. Dielectric layers 38 may comprise a carbon-containing low-k dielectric material, Hydrogen SilsesQuioxane (HSQ), MethylSilsesQuioxane (MSQ), or the like. In accordance with some embodiments of the present disclosure, the formation of dielectric layers 38 includes depositing a porogen-containing dielectric material and then performing a curing process to drive out the porogen, and hence the remaining dielectric layers 38 are porous.
The formation of metal lines 34 and vias 36 may include single damascene and/or dual damascene processes. In a single damascene process, a trench is first formed in one of dielectric layers 38, followed by filling the trench with a conductive material. A planarization such as a CMP process is then performed to remove the excess portions of the conductive material higher than the top surface of the corresponding dielectric layer 38, leaving a metal line in the trench. In a dual damascene process, both a trench and a via opening are formed in one of dielectric layers 38, with the via opening underlying and connected to the trench. The conductive material is then filled into the trench and the via opening to form a metal line and a via, respectively. The conductive material may include a diffusion barrier layer and a copper-containing metallic material over the diffusion barrier layer. The diffusion barrier layer may include titanium, titanium nitride, tantalum, tantalum nitride, or the like.
Interconnect structure 32 includes top conductive (metal) features (denoted as 37) such as metal lines, metal pads, or vias in a top dielectric layer, which is in one of dielectric layers 38 (marked as dielectric layer 38A). In accordance with some embodiments, dielectric layer 38A is formed of a low-k dielectric material similar to the material of the lower ones of dielectric layers 38. In accordance with other embodiments, dielectric layer 38A is formed of a non-low-k dielectric material, which may include silicon nitride, Undoped Silicate Glass (USG), silicon oxide, or the like. Dielectric layer 38A may also have a multi-layer structure including, for example, two USG layers and a silicon nitride layer in between. Top metal features 37 may also be formed of copper or a copper alloy, and may have a dual damascene structure or a single damascene structure. Dielectric layer 38A is sometimes referred to as a passivation layer.
Metal pads 42 are formed over and contacting metal feature 37. Metal pads 42 may be electrically coupled to integrated circuit devices 26 through interconnect structure 32 in accordance with some embodiments. Metal pads 42 may be aluminum pads or aluminum-copper pads, and other metallic materials may be used. In accordance with some embodiments of the present disclosure, metal pads 42 have an aluminum percentage greater than about 95 percent.
A patterned passivation layer 44 is formed over interconnect structure 32. Some portions of passivation layer 44 may cover the edge portions of metal pads 42, and the central portions of the top surfaces of metal pads 42 are exposed through openings 46 in passivation layer 44. Passivation layer 44 may be a single layer or a composite layer, and may be formed of a non-porous material. In accordance with some embodiments of the present disclosure, passivation layer 44 is a composite layer including a silicon oxide layer and a silicon nitride layer over the silicon oxide layer.
Next, as shown in
Next, a plating process is performed to form metal bumps 54. The respective process is illustrated as process 206 in the process flow shown in
On top of metal bumps 54, solder regions 56 are formed by plating. Solder regions 56 may be formed of a Sn—Ag alloy, a Sn—Ag—Cu alloy, or the like, and may be lead-free or lead-containing. In a subsequent process, plating mask 52 is removed in a stripping process. For example, when plating mask 52 is formed of photo resist, plating mask 52 may be ashed using oxygen. Next, as shown in
Referring to
In accordance with some embodiments of the present disclosure, protection layer 60 is formed using a selective formation method such as inkjet printing, in which protection layer 60 is selectively sprayed to the spaces between metal bumps 54, but not on metal bumps 54. The inkjet printing may be achieved through a printing head 62. In accordance with some embodiments, the sprayed material is sprayed to the middle region between, and spaced apart from, neighboring metal bumps 54. The viscosity of protection layer 60 is low enough so that the sprayed material flows to contact the sidewalls of metal bumps 54. Furthermore, due to capillary action, the protection layer 60 climb onto upper portions the sidewalls of metal bumps 54. As a result, the portion of protection layer 60 between neighboring metal bumps 54 has a top surface lower than the top tips of the sidewall portions of protection layer 60 on the sidewalls of metal bumps 54, and lower than the interface between solder region 56 and metal bump 54. After the printing, protection layer 60 is cured. In the curing process, the top surface of protection layer 60 is a free surface that is not limited (such as pressed and/or contacted) by other features.
When protection layer 60 is formed using a selective formation method in which the regions on package component 20 can be selectively covered or not covered by protection layer 60, the scribe lines between neighboring package components 22 may be formed with protection layer 60, or may not be formed with protection layer 60. For example, region 63 may be disposed with, or not disposed with, protection layer 60.
The height of the sidewall of metal bumps 54 is denoted as H1. The height of the portion of protection layer 60 in contact with metal bumps 54 is denoted as H2. In accordance with some embodiments, protection layer 60 protects the majority of the sidewalls of metal bumps 54, and hence ratio H2/H1 is greater than 0.5. Ratio H2/H1 may be equal to 1.0, or in the range between 0.5 and about 1.0. When ration H2/H1 is smaller than 1.0, protection layer 60 is not in contact with the respective overlying solder region 56. Since the sidewalls of metal bumps 54 are wettable to solder, and protection layer 60 is not wettable to solder, when protection layer 60 covers the entire sidewalls of metal bumps 54, the entire wettable surfaces of metal bumps 54 are covered by non-solder-wettable surfaces. This provides the improved benefit of preventing solder from migrating (or flowing) to the sidewalls of metal bumps 54. Due to process variations, protection layer 60 may climb to different heights. For example, some portions of protection layer 60 may climb to the interface between solder regions 56 and metal bumps 54, while some other portions of protection layer 60 may climb to an intermediate height between the top surfaces and the bottom surfaces of the corresponding copper-containing layer 54A or the intermediate height between the top surfaces and the bottom surfaces of the corresponding capping layer 54B.
As a result of the spray, flow, and capillary action, in a gap between two neighboring metal bumps 54, the respective portion of protection layer 60 may have a top surface that is lowest at a point close to the middle of the gap, and the levels of the top surfaces of the portions of protection layer 60 closer to metal bumps 54 are higher than the levels of the top surfaces of the portions of protection layer 60 farther away from metal bumps 54. Furthermore, the top surface of the respective portion of protection layer 60 may be continuously curved, as illustrated in an example. The resulting structure with protection layer 60 is shown in
Next, as shown in
Package component 70 includes package components 71, which are parts of the un-sawed wafer-level component 70. Package components 71 may be device dies, interposer dies, or the like. In accordance with some embodiments, package components 71 are Central Processing Unit (CPU) die, Application Processor (AP) dies or another type of logic dies. Integrated circuit devices 8o (if formed), which include transistors, diodes, capacitors, resistors, and/or the like, are formed at a surface of substrate 72.
RDLs 82 (sometimes referred to as metal lines and vias) are formed over dielectric layer 78, and are electrically coupled to through-vias 74. UBMs 84 are formed over and electrically coupling to RDLs 82. Solder regions 86 are formed on UBMs 84. In accordance with some embodiments, solder regions 86 are formed on the front side of package component 70, as illustrated in
Referring to
Referring to
Further referring to
Referring to
Referring to
Next, as shown in
In above-illustrated embodiments, some processes and features are discussed in accordance with some embodiments of the present disclosure. Other features and processes may also be included. For example, testing structures may be included to aid in the verification testing of the 3D packaging or 3DIC devices. The testing structures may include, for example, test pads formed in a redistribution layer or on a substrate that allows the testing of the 3D packaging or 3DIC, the use of probes and/or probe cards, and the like. The verification testing may be performed on intermediate structures as well as the final structure. Additionally, the structures and methods disclosed herein may be used in conjunction with testing methodologies that incorporate intermediate verification of known good dies to increase the yield and decrease costs.
The embodiments of the present disclosure have some advantageous features. Reliability tests revealed that when packages having the protruding metal bumps and solder regions are stored at elevated temperatures, for example, over 100° C., the solder regions between the metal bumps suffer from solder loss due to the migration of the solder to the sidewalls of metal bumps, leading to voids in the solder regions. Furthermore, in the reflow process to bond package components, solder may also flow to the sidewalls of metal bumps, again leading to solder loss. By forming non-wettable protection layers to protect the sidewalls of the metal bumps, the solder loss is at least reduced, and may be eliminated.
In accordance with some embodiments of the present disclosure, a method of forming a package includes forming a metal bump on a top surface of a first package component; forming a solder region on a top surface of the metal bump; forming a protection layer extending on a sidewall of the metal bump; reflowing the solder region to bond the first package component to a second package component; and dispensing an underfill between the first package component and the second package component, wherein the underfill is in contact with the protection layer. In an embodiment, the protection layer is formed through inkjet printing. In an embodiment, in the inkjet printing, the protection layer is printed to a location spaced apart from the metal bump, and the protection layer extends to the sidewall of the metal bump through capillary action. In an embodiment, the forming the protection layer comprises: spin coating the protection layer on the first package component, wherein the protection layer comprises a portion on a top surface of the solder region; and performing a lithography process to remove the portion of the protection layer on the top surface of the solder region. In an embodiment, the forming the protection layer comprises dispensing a light-sensitive polymer, and the protection layer is free from filler particles therein. In an embodiment, the protection layer is spaced apart from the solder region. In an embodiment, the method further comprises, after the protection layer is formed, sawing the first package component from a respective wafer, wherein the sawing is performed after the underfill is dispensed. In an embodiment, the method further comprises removing a portion of the protection layer from a scribe line between the first package component and an additional component, wherein the first package component and the additional component are portions of an unsawed wafer. In an embodiment, the forming the metal bump and the forming the solder region comprise: forming a patterned plating mask having an opening therein; and plating the metal bump and the solder region in the opening.
In accordance with some embodiments of the present disclosure, a method of forming a package includes forming a plating mask at a top surface of a first package component; plating a first metal bump in an opening of the plating mask; plating a first solder region in the opening and over the first metal bump; removing the plating mask, wherein the first metal bump protrudes higher than a top dielectric layer of the first package component; reflowing the first solder region; and forming a first dielectric protection layer on the first package component, wherein the first dielectric protection layer contacts a vertical sidewall of the first metal bump. In an embodiment, the forming the first dielectric protection layer comprises coating a light-sensitive polymer. In an embodiment, the first dielectric protection layer is formed as being separated from the first solder region. In an embodiment, the forming the first dielectric protection layer comprises an inkjet printing process, and the first dielectric protection layer flows to cover substantially an entirety of the vertical sidewall of the first metal bump. In an embodiment, the first dielectric protection layer contacts the top dielectric layer, and the first dielectric protection layer and the top dielectric layer are formed of a same dielectric material, and are formed in separate process steps. In an embodiment, the method further comprises forming a second package component comprising: forming a second metal bump; forming a second solder region on the second metal bump; and forming a second dielectric protection layer contacting a sidewall of the second metal bump; bonding the first package component to the second package component, with the first solder region and the second solder region being reflowed as a third solder region; and dispensing an underfill between the first package component and the second package component, wherein the underfill contacts both the first dielectric protection layer and the second dielectric protection layer.
In accordance with some embodiments of the present disclosure, a package of semiconductor devices includes a first package component including dielectric layer; a metal bump protruding beyond the dielectric layer; a solder region over and contacting the metal bump; and a protection layer contacting a sidewall of the metal bump and a surface of the dielectric layer, wherein the protection layer is formed of a dielectric material. In an embodiment, the protection layer is free from filler particles therein. In an embodiment, the package further comprises a second package component bonded to the first package component; and an underfill contacting the protection layer. In an embodiment, the protection layer is spaced apart from the solder region. In an embodiment, the protection layer and the dielectric layer are formed of a same dielectric material, and have a distinguishable interface therebetween.
The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.
Claims
1. A method of forming a package, the method comprising:
- forming a metal bump on a top surface of a first package component;
- forming a solder region on a top surface of the metal bump;
- forming a protection layer extending on a sidewall of the metal bump, wherein the protection layer is formed through inkjet printing;
- reflowing the solder region to bond the first package component to a second package component; and
- dispensing an underfill between the first package component and the second package component, wherein the underfill is in contact with the protection layer.
2. The method of claim 1, wherein in the inkjet printing, the protection layer is printed to a location spaced apart from the metal bump, and the protection layer extends to the sidewall of the metal bump through capillary action.
3. The method of claim 1, wherein the forming the protection layer comprises:
- spin coating the protection layer on the first package component, wherein the protection layer comprises a portion on a top surface of the solder region; and
- performing a lithography process to remove the portion of the protection layer on the top surface of the solder region.
4. The method of claim 1, wherein the forming the protection layer comprises dispensing a light-sensitive polymer, and the protection layer is free from filler particles therein.
5. The method of claim 1, wherein the protection layer is spaced apart from the solder region.
6. The method of claim 1 further comprising, after the protection layer is formed, sawing the first package component from a respective wafer, wherein the sawing is performed after the underfill is dispensed.
7. The method of claim 1 further comprising removing a portion of the protection layer from a scribe line between the first package component and an additional component, wherein the first package component and the additional component are portions of an unsawed wafer.
8. The method of claim 1, wherein the forming the metal bump and the forming the solder region comprise:
- forming a patterned plating mask having an opening therein; and
- plating the metal bump and the solder region in the opening.
9. A method of forming a package, the method comprising:
- forming a plating mask at a top surface of a first package component;
- plating a first metal bump in an opening of the plating mask;
- plating a first solder region in the opening and over the first metal bump;
- removing the plating mask, wherein the first metal bump protrudes higher than a top dielectric layer of the first package component;
- reflowing the first solder region; and
- forming a first dielectric protection layer on the first package component, wherein the first dielectric protection layer contacts a vertical sidewall of the first metal bump.
10. The method of claim 9, wherein the forming the first dielectric protection layer comprises coating a light-sensitive polymer.
11. The method of claim 9, wherein the first dielectric protection layer is formed as being separated from the first solder region.
12. The method of claim 9, wherein the forming the first dielectric protection layer comprises an inkjet printing process, and the first dielectric protection layer flows to cover substantially an entirety of the vertical sidewall of the first metal bump.
13. The method of claim 9, wherein the first dielectric protection layer contacts the top dielectric layer, and the first dielectric protection layer and the top dielectric layer are formed of a same dielectric material, and are formed in separate process steps.
14. A method of forming a package, the method comprising:
- forming a package component comprising a surface dielectric layer;
- forming a first electrical connector comprising: a first non-solder region; and a first solder region on a first top surface of the first non-solder region;
- forming a second electrical connector comprising: a second non-solder region; and a second solder region on a second top surface of the second non-solder region;
- dispensing a dielectric material between the first electrical connector and the second electrical connector, wherein the dielectric material is over and contacting the surface dielectric layer, and the dielectric material extends on sidewalls of the first electrical connector and the second electrical connector; and
- curing the dielectric material, wherein at a time the dielectric material is cured, a top surface of the dielectric material is a free surface that is exposed.
15. The method of claim 14, wherein the dielectric material is free from filler particles therein.
16. The method of claim 14, wherein the dispensing the dielectric material comprises dispensing polyimide, polybenzoxazole (PBO), or benzocyclobutene (BCB).
17. The method of claim 14, wherein after the dispensing the dielectric material, a top surface of the dielectric material in middle of the first electrical connector and the second electrical connector is lower than the first top surface of the first non-solder region, and the dielectric material climbs to the first top surface.
18. The method of claim 14, wherein the dispensing the dielectric material comprises an inkjet printing process.
19. The method of claim 14, wherein the package component comprises a scribe line, and at the time, a portion of the surface dielectric layer in the scribe line is exposed, and the method further comprising sawing through the scribe line.
20. The method of claim 1, wherein the forming the protection layer comprises, after the inkjet printing, curing the protection layer, wherein when the protection layer is cured, a top surface of the protection layer is a free surface without contacting any material.
9287171 | March 15, 2016 | Wu |
20040121606 | June 24, 2004 | Raskin |
20110291262 | December 1, 2011 | Shen |
20110298123 | December 8, 2011 | Hwang |
20120146212 | June 14, 2012 | Daubenspeck |
20150092371 | April 2, 2015 | Meinhold |
20150325546 | November 12, 2015 | Hwang |
20150380357 | December 31, 2015 | Liu |
20160099223 | April 7, 2016 | Hsieh |
20180138115 | May 17, 2018 | Lu |
20180151522 | May 31, 2018 | Yang |
Type: Grant
Filed: Oct 31, 2018
Date of Patent: Sep 22, 2020
Patent Publication Number: 20200135677
Assignee: Taiwan Semiconductor Manufacturing Company, Ltd. (Hsinchu)
Inventors: Jung-Hua Chang (Hsinchu), Jian-Yang He (Tainan), Chin-Fu Kao (Taipei)
Primary Examiner: George R Fourson, III
Application Number: 16/176,017
International Classification: H01L 23/488 (20060101); H01L 23/00 (20060101); H01L 21/78 (20060101); H01L 25/00 (20060101); H01L 21/56 (20060101); H01L 23/29 (20060101); H01L 23/31 (20060101);