PHOTON INDUCED REMOVAL OF COPPER

Preferred embodiments provide a method for removing at least part of a copper comprising layer from a substrate, the substrate comprising at least a copper comprising surface layer. The method comprises in a first reaction chamber converting at least part of the copper comprising surface layer into a copper halide surface layer and in a second reaction chamber removing at least part of the copper halide surface layer by exposing it to a photon comprising ambient, thereby initiating formation of volatile copper halide products. During exposure to the photon comprising ambient, the method furthermore comprises removing the volatile copper halide products from the second reaction chamber to avoid saturation of the volatile copper halide products in the second reaction chamber. The method according to preferred embodiments may be used to pattern copper comprising layers. For example, the method according to preferred embodiments may be used to form copper comprising interconnect structures in a semiconductor device.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
CROSS-REFERENCE TO RELATED APPLICATIONS

This application claims the benefit under 35 U.S.C. § 119(e) of U.S. Provisional Application No. 61/050,848 filed on May 6, 2008, U.S. Provisional Application No. 60/970,844 filed on Sep. 7, 2007, and U.S. Provisional Application No. 60/948,129 filed on Jul. 5, 2007, the disclosures of which are hereby expressly incorporated by reference in their entirety and are hereby expressly made a portion of this application.

FIELD OF THE INVENTION

The present invention relates to the removal or etching of copper from a substrate. More particular, the present invention relates to the removal or etching of copper from a substrate comprising at least a copper comprising surface layer by using a photon comprising ambient.

BACKGROUND OF THE INVENTION

In the field of semiconductor processing, typically damascene processes are used to form copper-based interconnects. In damascene processes, trenches are etched in low dielectric constant materials and these trenches are then subsequently filled up to create the copper interconnects. However, nowadays feature sizes are more and more shrinking through which this approach becomes more and more challenging.

First, there are issues related to etching of low-k materials. During etching such materials may be damaged through which the k-value of the material may increase, also referred to as low-k damage. The same applies for photoresist removal after the etch process, which is also known to produce low-k damage. According to the damascene process, subsequent to the etching process, the trenches are coated with a barrier layer and filled with copper. This filling procedure is difficult in the sense that bottom-up filling is required to obtain complete filling of the trenches and that large grains are desired for low resistivity and optimal electromigration properties. A further issue is the performance of chemical mechanical polishing (CMP) of the copper with all its inherent problems as known by a person skilled in the art.

An alternative to the damascene process is the classical pathway as was used before for aluminium as an interconnect material. When applying this process in the formation of copper interconnections, this requires copper films to be patterned. Dry etching of copper could overcome these problems since in that case the low-k materials do not have to be etched and copper does not have to be planarized. Moreover, etched copper lines may have a lower resistivity and improved electromigration resistance compared to those developed using a damascene process. This is mostly because the grain growth of copper, when deposited on a flat surface, is not obstructed like it is in narrow trenches in a damascene approach. The resulting larger grain size may lead to less scattering of electrons at grain boundaries.

Because of the above, there is a need to explore the possibilities of dry etching of a copper layer. Up to now, dry etching of copper was hardly impossible because high temperatures of up to 200° C. were needed to make copper chloride (CuxCly) or copper bromide (CuxBry) volatile. At such high temperatures it is impossible to realize anisotropic etching of narrow structures in the nanometre dimensions. To avoid high temperature etching, attempts were done in the prior art in which first copper is converted into halogenated copper, also referred to as a copper halide (CuxCly and/or CuxBry) by exposing it to a Cl2 of HBr plasma at low temperatures (60° C.) [Kuo et al., Applied Physics Letters, Vol. 78, No. 7, pages 1002-1004, 2001]. In a second step, the copper halide is then removed using a wet removal step (e.g. a 5% HCl solution). This low temperature method is however unsuitable and not accurate enough to pattern structures with nanometer dimensions. The conversion of copper into CuxCly and/or CuxBry happens very fast and thick layers of CuxCly and/or CuxBry can be formed. Furthermore, copper seems to diffuse very fast through CuxCly and/or CuxBry such that anisotropical etching becomes impossible. Another approach shown in literature [U.S. Pat. No. 5,221,426] is the etching of copper using powerful laser beams leading to local volatilization of copper species. However, this method also leads to unwanted heat generation.

SUMMARY OF THE INVENTION

It is an object of preferred embodiments to provide a method for removing at least part of a copper comprising layer from a substrate, the substrate comprising at least a copper comprising surface layer.

The above objective is accomplished by a method according to preferred embodiments.

In a first aspect, preferred embodiments provide a method for removing at least part of a copper comprising layer from a substrate, the substrate comprising at least a copper comprising surface layer. The method comprises:

in a first reaction chamber converting at least part of the copper comprising surface layer into a copper halide surface layer, i.e. a surface layer comprising a copper halide compound, e.g. a copper halide compound different from a copper fluoride compound,

in a second reaction chamber removing at least part of the copper halide surface layer by exposing it to a photon comprising ambient, thereby initiating formation of volatile copper halide products, and

during exposure to the photon comprising ambient, removing the volatile copper halide products from the second reaction chamber to avoid saturation of the volatile copper halide products in the second reaction chamber.

According to preferred embodiments, the first and second reaction chamber may be the same. According to other preferred embodiments, the first and second reaction chambers may be different.

A method according to preferred embodiments may be used to pattern copper comprising layers. For example, a method according to preferred embodiments may be used to form copper comprising interconnect structures in a semiconductor device.

According to preferred embodiments a copper comprising layer refers to a layer comprising between 10 atomic % and 100 atomic % copper. According to preferred embodiments, a copper comprising layer may refer to a layer comprising at least between 10 atomic % and 50% atomic % copper.

Removing the volatile copper halide products from the reaction chamber is to avoid saturation of the volatile copper halide product in the reaction chamber. In other words, the partial pressure of the halogenated products in preferred embodiments is such that no saturation is reached. The intensity of the plasma to initiate the volatilisation of the copper halide from the surface may be in the mW/cm2 range. The intensity of the plasma can be tuned by the applied power and pressure. Volatilization of copper halides is already possible using a He, Ar or H comprising plasma having a power in the range of between 300 Watt and 1000 Watt at a pressure in the range of between 5 mTorr (0.67 Pa) and 80 mTorr (10.67 Pa).

It is an advantage of methods according to preferred embodiments that it uses photon induced reactions and that it can be used at low temperatures. It is an advantage of methods according to preferred embodiments that it does not require the use of high temperatures, e.g. higher than 150° C., to remove at least part of the copper layer. A method according to preferred embodiments may be performed at a temperature of between 20° C. and 80° C.

According to preferred embodiments, converting at least part of the copper comprising surface layer into a copper halide surface layer may be performed by exposing at least part of the copper comprising surface layer to a halogen comprising gas such as e.g. a BCl3, HBr, Br2, Cl2, I2, HCl and/or HI gas.

According to other preferred embodiments, converting at least part of the copper comprising surface layer into a copper halide surface layer may be performed by exposing at least part of the copper comprising surface layer to a halogen comprising plasma. The halogen comprising plasma may, for example, be a Br, I and/or Cl comprising plasma. The halogen comprising plasma may, for example, be formed in a reactive ion etching chamber using BCl3, HBr, Br2, Cl2, I2, HCl and/or HI gasses.

Exposing at least part of the copper comprising surface layer to a halogen comprising plasma may be performed with a halogen comprising plasma at a power of between 200 Watt and 1000 Watt, a pressure of between 4 mTorr (0.53 Pa) and 80 mTorr (10.67 Pa) and a flow of between 50 sccm and 500 sccm, for example a plasma at a power of 600 Watt and a pressure of 10 mTorr (1.33 Pa) may be used.

According to preferred embodiments, exposing the copper halide surface layer to a photon comprising ambient may be performed by exposing the copper halide surface layer to a He, Ar or H comprising plasma.

According to preferred embodiments, the copper halide surface layer may comprise CuxCly and the photon comprising ambient may be a He plasma, and exposing the copper halide surface layer to a photon comprising ambient may be performed at a power of 1000 Watt and a pressure of 30 mTorr (4 Pa), with or without the use of substrate bias. The exposure time period is dependent on the amount of copper to be removed (or dimensions of the structures to be patterned).

According to other preferred embodiments, the copper halide surface layer may comprise CuxBry and the photon comprising ambient may be a He plasma, and exposing the copper halide surface layer to a photon comprising ambient may be performed at a power of 1000 Watt and a pressure of 80 mTorr (10.67 Pa), preferably without substrate bias. The exposure time period is dependent on the amount of copper to be removed (or dimensions of the structures to be patterned).

According to still further preferred embodiments, the copper halide surface layer may comprise CuxIy and the photon comprising ambient may be a He plasma, and exposing the copper halide surface layer to a photon comprising ambient may be performed at a power of 1000 Watt and a pressure of 80 mTorr (10.67 Pa), preferably without substrate bias. The exposure time period is dependent on the amount of copper to be removed (or dimensions of the structures to be patterned).

According to preferred embodiments converting at least part of the copper comprising surface layer into a copper halide surface layer, also referred to as halogenation, and exposing the copper halide surface layer to a photon comprising ambient may be performed simultaneously in a same reaction chamber. For example, the simultaneous reaction may be performed in a reactive ion etching chamber in which a plasma is present that comprises at least a halogen compound and a photon inducing compound e.g. originating from a He, Ar or H plasma. According to the present example, the plasma may comprise as main compounds He, Ar and/or H (more than 90%) and may furthermore comprise halogen compounds. The amount of halogen compounds in the plasma (e.g. Cl, Br and/or I radicals and ions) may be in the range of a few percentages of the total plasma composition. The plasma may furthermore comprise inert compounds and/or compounds such as fluorocarbon based compounds (e.g. originating from CF4) to obtain passivation (e.g. sidewall passivation) removal of the copper from the substrate.

The method may comprise providing a substrate comprising at least a copper comprising surface layer. Providing such a substrate may be performed by:

providing a substrate, and

depositing a copper comprising surface layer onto the substrate.

According to preferred embodiments, depositing a copper comprising surface layer onto the substrate may, for example, be performed by electrochemical plating (ECP) or Physical Vapour Deposition (PVD). An extra annealing step may be performed on the deposited copper layer in order to recrystallize the copper to obtain larger grains.

An advantage of first depositing a copper comprising layer and then etching interconnect structures into the copper comprising layer is the fact that these copper interconnect structures have much bigger grains and hence less grain boundaries compared to copper interconnect structures obtained using damascene technology.

According to preferred embodiments, the method may furthermore comprise, before providing a copper comprising surface layer, providing a protecting layer and/or a barrier layer onto the substrate. The barrier layer may be used to avoid diffusion of copper into the substrate. According to preferred embodiments, the method may comprise providing one of a protective layer or a barrier layer. According to other preferred embodiments, the method may comprise providing both a protective layer and a barrier layer.

The method may furthermore comprise, before converting at least part of the copper comprising surface layer into a copper halide surface layer, providing a lithographic pattern onto the copper comprising surface layer.

Providing a pattern onto the copper surface layer may be performed by:

providing a layer of material, e.g. a photosensitive lithographic layer (e.g. resist), onto the copper comprising surface layer, and

patterning the layer of photosensitive material by lithographic patterning to create at least one hole in the layer.

According to preferred embodiments, the method may furthermore comprise, after provision of a pattern onto the copper comprising surface layer and removing at least part of the copper comprising layer, removing the photosensitive layer.

The method may furthermore comprise, before converting at least part of the copper comprising surface layer into a copper halide surface layer, cleaning the substrate. Cleaning may, for example, be done by using a H2 or N2 plasma.

In a further aspect, use of a method according to preferred embodiments for patterning a copper comprising layer is provided. According to preferred embodiments the patterning of the copper layer may be used to define interconnect structures in the copper layer, the interconnect structures can be either trenches or vias. After patterning the interconnect structures, a dielectric layer may be deposited onto the interconnect structures.

Particular and preferred aspects are set out in the accompanying independent and dependent claims. Features from the dependent claims may be combined with features of the independent claims and with features of other dependent claims as appropriate and not merely as explicitly set out in the claims.

Although there has been constant improvement, change and evolution of devices in this field, the present concepts are believed to represent substantial new and novel improvements, including departures from prior practices, resulting in the provision of more efficient, stable and reliable devices of this nature.

The above and other characteristics, features and advantages of the present invention will become apparent from the following detailed description, taken in conjunction with the accompanying drawings, which illustrate, by way of example, the principles of the invention. This description is given for the sake of example only, without limiting the scope of the invention. The reference figures quoted below refer to the attached drawings.

BRIEF DESCRIPTION OF THE DRAWINGS

All figures are intended to illustrate some aspects and preferred embodiments. The figures are depicted in a simplified way for reason of clarity.

Not all alternatives and options are shown and therefore the invention is not limited to the content of the given drawings. Like numerals are employed to reference like parts in the different figures.

FIG. 1 illustrates the principle of a method according to preferred embodiments.

FIG. 2 schematically illustrates the desorption induced by electronic transition (DIET) mechanisms to achieve the photon induced copper desorption according to according to preferred embodiments.

FIG. 3 illustrates formation of volatile copper halide product and the possibility of re-deposition of these copper halide product onto the substrate.

FIGS. 4A to 4F illustrate an example of the use of a method according to preferred embodiments.

FIG. 5 illustrates passivation of a trench during use of a method according to preferred embodiments.

FIG. 6 illustrates wafer loss (loss of CuxCly due to volatilization of CuxCly) during exposure of a CuxCly layer to a halogen comprising plasma as a function of the partial pressure of He in the plasma chamber.

DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT

The present invention will be described with respect to particular embodiments and with reference to certain drawings but the invention is not limited thereto but only by the claims. The drawings described are only schematic and are non-limiting. In the drawings, the size of some of the elements may be exaggerated and not drawn on scale for illustrative purposes. The dimensions and the relative dimensions do not correspond to actual reductions to practice of the invention.

Moreover, the term top and the like in the description and the claims are used for descriptive purposes and not necessarily for describing relative positions. It is to be understood that the terms so used are interchangeable under appropriate circumstances and that the preferred embodiments described herein are capable of operation in other orientations than described or illustrated herein.

It is to be noticed that the term “comprising”, used in the claims, should not be interpreted as being restricted to the means listed thereafter; it does not exclude other elements or steps. It is thus to be interpreted as specifying the presence of the stated features, integers, steps or components as referred to, but does not preclude the presence or addition of one or more other features, integers, steps or components, or groups thereof. Thus, the scope of the expression “a device comprising means A and B” should not be limited to devices consisting only of components A and B. It means that with respect to the present invention, the only relevant components of the device are A and B.

Reference throughout this specification to “one embodiment” or “an embodiment” means that a particular feature, structure or characteristic described in connection with the embodiment is included in at least one embodiment of the present invention. Thus, appearances of the phrases “in one embodiment” or “in an embodiment” in various places throughout this specification are not necessarily all referring to the same embodiment, but may. Furthermore, the particular features, structures or characteristics may be combined in any suitable manner, as would be apparent to one of ordinary skill in the art from this disclosure, in one or more embodiments.

Similarly it should be appreciated that in the description of exemplary preferred embodiments, various features of the invention are sometimes grouped together in a single embodiment, figure, or description thereof for the purpose of streamlining the disclosure and aiding in the understanding of one or more of the various inventive aspects. This method of disclosure, however, is not to be interpreted as reflecting an intention that the claimed invention requires more features than are expressly recited in each claim. Rather, as the following claims reflect, inventive aspects lie in less than all features of a single foregoing disclosed embodiment. Thus, the claims following the detailed description are hereby expressly incorporated into this detailed description, with each claim standing on its own as a separate embodiment of this invention.

Furthermore, while some embodiments described herein include some but not other features included in other embodiments, combinations of features of different embodiments are meant to be within the scope of the invention, and form different embodiments, as would be understood by those in the art. For example, in the following claims, any of the claimed embodiments can be used in any combination.

In the description provided herein, numerous specific details are set forth. However, it is understood that preferred embodiments may be practiced without these specific details. In other instances, well-known methods, structures and techniques have not been shown in detail in order not to obscure an understanding of this description.

The following term is provided solely to aid in the understanding of the invention.

The term “pattern” as referred to in the claims and the description is used to define structures (e.g. vias, trenches, and the like) in a layer deposited onto a substrate, e.g. a wafer substrate. The patterns are formed on the wafer substrates using patterning tools known by a person skilled in the art as a combination of lithography techniques (photolithography and e-beam lithography) and etching techniques (such as reactive ion etching (RIE)).

The invention will now be described by a detailed description of several preferred embodiments. It is clear that other preferred embodiments can be configured according to the knowledge of persons skilled in the art without departing from the true spirit or technical teaching of the invention as defined by the appended claims.

A method is provided for removing at least part of a copper comprising layer from a substrate, the substrate comprising at least a copper comprising surface layer. The method comprises:

in a first reaction chamber converting at least part of the copper comprising surface layer into a copper halide surface layer, i.e. a surface layer comprising a copper halide compound, e.g. a copper halide compound different from a copper fluoride compound,

in a second reaction chamber removing at least part of the copper halide surface layer, i.e. a surface layer comprising a copper halide compound, e.g. a copper halide compound different from a copper fluoride compound, by exposing it to a photon comprising ambient, thereby initiating formation of volatile copper halide products, and

during exposure to the photon comprising ambient, removing the volatile copper halide products from the second reaction chamber so as to avoid saturation of the volatile copper halide products in the second reaction chamber, thereby preventing re-deposition of the volatile copper halide products onto the substrate.

According to preferred embodiments, the first and second reaction chamber may be the same. In other words, according to preferred embodiments, converting at least part of the copper comprising surface layer into a copper halide surface layer and removing at least part of the copper halide surface layer may be performed in a same reaction chamber. According to these embodiments, converting at least part of the copper comprising surface layer into a copper halide surface layer and removing at least part of the copper halide surface layer may be performed simultaneously or in subsequent steps. According to other preferred embodiments, the first and second reaction chambers may be different. In other words, according to these embodiments, converting at least part of the copper comprising surface layer into a copper halide surface layer and removing at least part of the copper halide surface layer may be performed in different reaction chambers.

According to preferred embodiments a copper comprising layer refers to a layer comprising between 10 atomic % and 100 atomic % copper. According to preferred embodiments, a copper comprising layer comprising layer may refer to a layer comprising at least between 10 atomic % and 50% atomic % copper.

Removing the volatile copper halide products from the reaction chamber is to avoid saturation of the volatile copper halide product in the reaction chamber. In other words, in preferred embodiments the partial pressure of the halogenated products is such that no saturation is reached. The intensity of the plasma to initiate the volatilisation of the copper halide from the surface may be in the mW/cm2 range. The intensity of the plasma can be tuned by the applied power and pressure. Volatilization of copper halides is already possible using a He, Ar or H comprising plasma having a power in the range of between 300 Watt and 1000 Watt at a pressure in the range of between 5 mTorr (0.67 Pa) and 80 mTorr (10.67 Pa) (see further).

It is an advantage of a method according to preferred embodiments that it does not require high temperatures, e.g. temperatures of higher than 150° C., to remove the copper halide compound of the copper halide surface layer or in other words to form volatile copper halide products.

A method according to preferred embodiments may be used to pattern copper comprising layers. For example, a method according to preferred embodiments may be used to form copper comprising interconnect structures in a semiconductor device.

According to preferred embodiments and as already mentioned above, converting at least part of the copper comprising surface layer into a copper halide surface layer and exposing the copper halide surface layer to a photon comprising ambient to initiate formation of volatile copper halide products may be performed simultaneously in a same reaction chamber, e.g. in a reactive ion etching chamber. The simultaneous reaction can be performed in a reactive ion etching chamber in which the plasma comprises at least a halogen compound to perform the conversion of copper into a copper halide compound and a photon inducing compound e.g. originating from a He, Ar or H2 plasma to make the copper halide compound volatile. The plasma may further comprise inert compounds and/or compounds such as fluorocarbon based compounds (e.g. CF4) to obtain passivation (e.g. sidewall passivation) during etching.

In the following description, removal of copper from the substrate may also be referred to as etching of the copper comprising surface layer.

FIG. 1 illustrates a method for removal of copper from a copper comprising layer on a substrate 1, the substrate comprising at least a copper comprising surface layer 4. According to preferred embodiments, the substrate 1 may be any suitable substrate. In preferred embodiments, the term “substrate” may include any underlying material or materials that may be used, or upon which a device, a circuit or an epitaxial layer may be formed. In other alternative embodiments, this “substrate” may include a semiconductor substrate such as e.g. doped silicon, a gallium arsenide (GaAs), a gallium arsenide phosphide (GaAsP), an indium phosphide (InP), a germanium (Ge), or a silicon germanium (SiGe) substrate. The “substrate” may include for example, an insulating layer such as a SiO2 or a Si3N4 layer in addition to a semiconductor substrate portion. Thus, the term substrate also includes silicon-on-glass, silicon-on sapphire substrates. The term “substrate” is thus used to define generally the elements for layers that underlie a layer or portions of interest. Also, the “substrate” may be any other base on which a layer is formed, for example a glass or copper layer. An example of a suitable substrate 1 which may be used with preferred embodiments may be a Si wafer. For example, the substrate 1 may be a 200 mm Si wafer onto which a copper comprising surface layer 4 is provided by e.g. electrochemical deposition. During deposition, the wafer temperature may be around 60° C. According to still further preferred embodiments, the substrate 1 may be a bulk copper substrate.

According to preferred embodiments, in between the substrate 1 and the copper comprising surface layer 4, optionally a protecting layer 2 and/or a barrier layer 3 may be present. The protecting layer 2 may, for example, be a SiO2 layer, and may, for example, have a thickness of 500 nm. The barrier layer 3 may, for example, be a TaN, TiN, TaN/TiN or SiC layer and may have a thickness in the range of, for example, 10 nm to 20 nm. The barrier layer 3 may be used to avoid diffusion of copper into the substrate 1. It has to be noted that, according to preferred embodiments and as illustrated in FIG. 1, both a protecting layer 2 and a barrier layer 3 may be provided. According to other preferred embodiments, only one of a protecting layer 2 or a barrier layer 3 may be provided. According to still other embodiments, none of these layers 2, 3 may be provided.

The method according to preferred embodiments may comprise in a first step converting at least part of the copper comprising surface layer 4 into a copper halide surface layer 5, i.e. a surface layer comprising a copper halide compound, e.g. a copper halide compound different from a copper fluoride compound. Examples of such copper halide compounds may be CuxCly or CuxBry. According to preferred embodiments, converting at least part of the copper comprising surface layer 4 into a copper halide surface layer 5 may be performed by exposing at least part of the copper comprising surface layer 4 to a halogen comprising gas such as e.g. a BCl3, HBr, Br2, Cl2, I2, HCl and/or HI gas. According to other preferred embodiments, converting at least part of the copper comprising surface layer 4 into a copper halide surface layer 5 may be performed by exposing at least part of the copper comprising surface layer 4 to a halogen comprising plasma. The halogen comprising plasma may be formed in a reactive ion etching chamber using BCl3, HBr, Br2, Cl2, I2, HCl and/or HI gasses. Exposing at least part of the copper comprising surface layer 4 to a halogen comprising plasma may be performed with a halogen comprising plasma at a power of between 200 Watt and 1000 Watt, a pressure of between 4 mTorr (0.53 Pa) and 80 mTorr (10.67 Pa) and a flow rate of between 50 sccm and 500 sccm, for example a plasma at 600 Watt and 10 mTorr (1.33 Pa) may be used.

In a next step, at least part of the copper halide surface layer 5, i.e. a surface layer comprising a copper halide compound, e.g. a copper halide compound different from a copper fluoride compound, is removed by exposing the copper halide surface layer 5 to a photon comprising ambient 6, thereby initiating formation of volatile copper halide products 8. According to preferred embodiments, exposing the copper halide surface layer 5 to a photon comprising ambient 6 may be performed by exposing the copper halide surface layer 5 to a He, Ar or H comprising plasma. According to preferred embodiments, the copper halide surface layer 5 may comprise CuxCly and the photon comprising ambient 6 may be a He plasma. According to these embodiments, exposing the copper halide surface layer 5 to the photon comprising ambient 6 may be performed at a power of 1000 Watt and a pressure of 30 mTorr (4 Pa) with or without the use of substrate bias. The exposure time period is dependent on the amount of copper to be removed and/or on the dimensions of the structures to be patterned. According to other preferred embodiments, the copper halide surface layer 5 may comprise CuxBry and the photon comprising ambient 6 may be a He plasma. According to this example, exposing the copper halide surface layer 5 to a photon comprising ambient 6 may be performed at a power of 1000 Watt and a pressure of 80 mTorr (10.67 Pa) without substrate bias. Again, the exposure time period is dependent on the amount of copper to be removed and/or the dimensions of the structures to be patterned. According to still further preferred embodiments, the copper halide surface layer 5 may comprise CuxIy and the photon comprising ambient 6 may be a He plasma. According to these embodiments, exposing the copper halide surface layer 5 to a photon comprising ambient 6 may be performed at a power of 1000 Watt and a pressure of 80 mTorr (10.67 Pa) without substrate bias. The exposure time period is dependent on the amount of copper to be removed and/or the dimensions of the structures to be patterned.

The mechanism of photon induced desorption of a halogenated Cu surface most likely happens because photons from the plasma interact in such a way with the halogenated copper surface that electronic excitations are created in the surface molecules. This may lead to breaking of surface bonds and/or excited molecules being repelled from the surface. A possible potential energy diagram for such a process is illustrated in FIG. 2, which schematically illustrates the desorption induced by electronic transitions (DIET) mechanism to obtain photon induced copper desorption according to according to preferred embodiments.

In the example given in FIG. 1 converting at least part of the copper comprising surface layer 4 into a copper halide surface layer 5 and exposing the copper halide surface layer 5 to a photon comprising ambient 6 may be performed simultaneously. At least part of the copper comprising surface layer 4 may be converted to a copper halide surface layer 5 by exposure to a halogen comprising plasma (indicated by arrow with reference number 7 in FIG. 1). By simultaneously exposing the copper halide surface layer 5 to a photon comprising ambient 6, formation of volatile copper halide products 8 is initiated (indicated by arrow with reference number 9). By continuously removing the volatile copper halide products 8 from the reaction chamber (indicated by arrow with reference number 10 in FIG. 1) during exposure of the copper halide surface layer 5 to the photon comprising ambient 6, saturation of the volatile copper halide products 8 in the reaction chamber is avoided such that re-deposition of these copper halide products 8 onto the substrate 1 is prevented.

Removal of the volatile copper halide products 8 in preferred embodiments is such that no saturation levels are reached, because in that case the volatile copper halide products 8 can be re-deposited onto the copper surface which preferably has to be avoided. The maximum allowable concentration of the volatile copper halide products 8 in the reaction chamber can be derived from the following equation:

S = P a P e [ 1 ]

in which S is the saturation ratio of the gas phase in the reaction chamber, Pa is the real partial pressure of the volatile copper halide products 8 in the reaction chamber and Pe the theoretical equilibrium partial pressure of volatile copper halide products 8 at a given pressure and temperature.

The partial pressure of the volatile copper halide products 8 in the reaction chamber can be influenced by the incoming gas flow(s) in the reaction chamber (e.g. He gas flow). The following equation [2] describes the relationship of the incoming gas flow on the actual concentration of gasses in the reaction chamber at a given pressure and temperature:

D = D 0 T T 0 P 0 P [ 2 ]

in which D0, T0, P0 are respectively the gas flow, temperature (25° C.) and pressure (1 atm) of the incoming gas (He) and D, T en P the actual flow, temperature and pressure in the reaction chamber. Since, according to preferred embodiments, the substrate 1 is kept at low temperature, special attention has to be paid to the removal of volatile copper halide products 8 out of the reaction chamber. This requires in general that the incoming gas flow rate is correlated to the exhaust flow rate. For example, the incoming gas flow for He may be e.g. higher than 250 sccm at the standard operation pressure (5 mTorr (0.67 Pa) up to 80 mTorr (10.67 Pa)). Preferably the volatile copper halide products 8 may be removed from the reaction chamber through the exhaust.

FIG. 3 schematically illustrates in more detail the exposure of the copper halide surface layer 5 to the photon comprising ambient 6. This exposure results in formation 9 of volatile copper halide products 8, in the example given CuCl, which can, upon saturation of these volatile copper halide products 8 in the reaction chamber, be re-deposited (indicated by arrow with reference number 11 in FIG. 3) onto the substrate 1. Therefore, and as described above, the volatile copper halide products 8, in preferred embodiments, may be removed from the reaction chamber during exposure of the copper halide surface layer 5 to the photon comprising ambient 6 to avoid saturation of the volatile copper halide products 8 in the reaction chamber.

The method according to preferred embodiments can, for example, be used for patterning a copper comprising layer. For example, the method according to preferred embodiments may be used for patterning interconnect structures in a copper comprising layer of semiconductor devices.

FIGS. 4A to 4F illustrate different processing steps in a method for patterning a copper comprising layer using a method according to preferred embodiments to remove (etch) part of the copper comprising layer. It has to be understood that this example is only for the purpose of illustration and is not intended to limit the preferred embodiments in any way. According to other embodiments, the method may furthermore comprise other steps, or may comprise a different sequence of steps.

In a first step, a substrate 1 may be provided with a protecting layer 2 and a barrier layer 3. As described earlier, the substrate 1 may be any suitable substrate 1. The protecting layer 2 may, for example, be a SiO2 layer, and may, for example, have a thickness of 500 nm. The barrier layer 3 may, for example, be a TaN, TiN, TaN/TiN or SiC layer and may have a thickness in the range of, for example, 10 nm to 20 nm. It has to be noted that the provision of a protecting layer 2 and a barrier layer 3 is optional. According to other preferred embodiments, only one of a protecting layer 2 or a barrier layer 3 may be present. According to still further embodiments, however, none of these layers 2, 3 may be present.

On top of the barrier layer 3 a copper comprising surface layer 4 is provided (see FIG. 4A). The copper comprising surface layer 4 may, for example, be deposited by known deposition techniques such as Plasma Vapor Deposition (PVD) or Electrochemical Deposition (ECD) or electroless plating. The copper comprising surface layer 4 may, for example, have a thickness between 200 nm and 1000 nm. However, according to preferred embodiments the copper comprising surface layer 4 may also have a thickness of larger than 1000 nm. The initial thickness of the copper comprising surface layer 4, i.e. the thickness of the copper comprising surface layer 4 before patterning may be dependent on the application. For example, when the copper comprising layer has to be patterned to form interconnect structures such as trenches or vias in a semiconductor device, the thickness of the copper comprising surface layer 4 may be between 1 nm to 10000 nm.

To pattern the copper comprising surface layer 4, a sacrificial pattern may first be provided onto the copper comprising surface layer 4. The sacrificial pattern may be provided on top of the copper comprising surface layer 4 such that some parts of the copper comprising surface layer 4 are exposed and other parts of the copper comprising surface layer 4 are covered by the sacrificial pattern. Therefore, a sacrificial layer 12 may be provided, e.g. deposited on top of the copper comprising surface layer 4 (see FIG. 4B) and holes or openings 13 may the be formed in this sacrificial layer 12 to form the sacrificial pattern 14 (see FIG. 4C). This may be done by means of any suitable method, for example, a combination of lithographic patterning and etching as known by a person skilled in the art. The sacrificial layer 12 may, for example, comprise one or more photosensitive layers (resist) or a combination of photosensitive layers (resist) and commonly used hardmask layers such as e.g. SiOx. According to preferred embodiments, the sacrificial pattern 14 may be a dummy pattern or, in other words, may be a sacrificial pattern that may be removed after copper etching. Alternatively the sacrificial pattern 14 may be a permanent pattern that will not be removed afterwards. The permanent pattern can then, for example, be used as a barrier material.

In a next step which is illustrated in FIG. 4D, the non-covered parts of the copper comprising surface layer 4 are converted into a copper halide surface layer 5 (see FIG. 5), i.e. a surface layer comprising a copper halide compound, e.g. a copper halide compound different from a copper fluoride compound. These converted parts are then exposed to a photon comprising ambient 6 to make the copper halide compound volatile at room temperature. According to the present example, these two steps may be performed simultaneously in a same reaction chamber. However, this is only an example and is not intended to limit the preferred embodiments in any way. As already mentioned above, these two steps may also be performed subsequently and/or in different reaction chambers. According to the present example, converting the copper comprising surface layer 4 into a copper halide surface layer 5 and exposing these converted parts to a photon comprising ambient 6 (see FIG. 5) may be done by exposing these parts to a halogen comprising ambient, e.g. chloride or bromide comprising ambient, in which a photon inducing compound is present e.g. originating from a He, Ar or H2 plasma (indicated with reference number 15 in FIG. 5 and in FIG. 4D). The ambient 15 may furthermore comprise inert compounds and/or compounds such as fluorocarbon based compounds (e.g. CF4) to obtain passivation 16 (e.g. sidewall and/or bottom wall passivation) during etching as shown in FIG. 5.

According to preferred embodiments, optionally, the copper comprising surface layer 4 may, before exposing to the halogen comprising ambient (plasma) 15, first be cleaned to remove possible contaminants. In case the contaminant is, for example, CuOx, a H2 or N2 plasma may be used.

Gas pressure during exposure of the copper halide surface layer 5 to the photon comprising ambient 6 may be an important parameter. FIG. 6 illustrates gas pressure of a He gas during exposure of the copper halide surface layer. By tuning the He gas pressure for a fixed He flow of 500 sccm the removal (etch) rate can be increased. Lower He gas pressures (in the range of 80 mTorr (10.67 Pa) He down to 20 mTorr (2.66 Pa) (see three bars at the left hand side of FIG. 6)) may lead to more efficient removal (etching) of copper halide products 8 which is illustrated in FIG. 6 as weight loss of copper. Too low gas pressure however, e.g. 5 mTorr (0.67 Pa) or lower, may result in inefficient removal of copper halide products 8. This low gas pressure leads probably to a change from a transition flow regime into a molecular flow regime and to a low intensity of the He plasma. When comparing a He comprising gas to an Ar comprising gas used for the same purpose, it is illustrated (bar at the right hand side of FIG. 6) that an Ar comprising gas is less efficient than the He comprising gas at a same pressure.

Hence, according to preferred embodiments, efficient removal (etching) of copper from a copper comprising surface layer can be obtained by good control of the partial pressure of halogenated copper products as well as (or in other words in combination with) the kind, intensity and/or power of the plasma and more specifically of the intensity of the photons in the plasma. The conditions of the plasma may further depend on the surface area of the copper comprising surface layer to be etched.

FIG. 4E illustrates the copper comprising structures 17 obtained after etching the copper comprising surface layer 4 using a method according to preferred embodiments. These copper comprising structures 17 may, for example, be used to define interconnect structures in Back-End-of-Line (BEOL) processing of a semiconductor device.

In a last step, the sacrificial pattern 14 may be removed (see FIG. 4F). Removal of the sacrificial pattern 14 may be performed by any suitable technique known by a person skilled in the art. It has to be noted that, as already above, according to other preferred embodiments, the sacrificial pattern 14 does not have to be removed. According to these embodiments, the pattern 14 may then serve as e.g. a barrier layer.

The method described above for etching or patterning of a copper comprising layer may, for example, be performed in a Lam Versys 2300 generation (ICP plasma etcher) etch chamber. According to preferred embodiments the pressure in the plasma chamber may be in the range of between 5 mTorr (0.67 Pa) and 80 mTorr (10.67 Pa) to obtain removal of copper using the method of the preferred embodiments.

It is to be understood that although preferred embodiments, specific constructions and configurations, as well as materials, have been discussed herein for devices according to the present invention, various changes or modifications in form and detail may be made without departing from the scope of this invention as defined by the appended claims.

All references cited herein are incorporated herein by reference in their entirety. To the extent publications and patents or patent applications incorporated by reference contradict the disclosure contained in the specification, the specification is intended to supersede and/or take precedence over any such contradictory material.

The term “comprising” as used herein is synonymous with “including,” “containing,” or “characterized by,” and is inclusive or open-ended and does not exclude additional, unrecited elements or method steps.

All numbers expressing quantities of ingredients, reaction conditions, and so forth used in the specification and claims are to be understood as being modified in all instances by the term “about.” Accordingly, unless indicated to the contrary, the numerical parameters set forth in the specification and attached claims are approximations that may vary depending upon the desired properties sought to be obtained by the present invention. At the very least, and not as an attempt to limit the application of the doctrine of equivalents to the scope of the claims, each numerical parameter should be construed in light of the number of significant digits and ordinary rounding approaches.

Claims

1. A method for removing at least part of a copper comprising layer from a substrate, the method comprising:

in a first reaction chamber converting at least part of a copper comprising surface layer of a substrate into a copper halide surface layer;
in a second reaction chamber removing at least part of the copper halide surface layer by exposing it to a photon comprising ambient, thereby initiating formation of volatile copper halide products; and
during exposure to the photon comprising ambient, removing the volatile copper halide products from the second reaction chamber to avoid saturation of the volatile copper halide products in the second reaction chamber.

2. The method of claim 1, wherein converting at least part of the copper comprising surface layer into a copper halide surface layer is performed by exposing at least part of the copper comprising surface layer to a halogen comprising gas.

3. The method of claim 1, wherein converting at least part of the copper comprising surface layer into a copper halide surface layer is performed by exposing at least part of the copper comprising surface layer to a halogen comprising plasma.

4. The method of claim 3, wherein exposing at least part of the copper comprising surface layer to a halogen comprising plasma is performed at a power of from about 200 Watt to about 1000 Watt, a pressure of from about 0.53 Pa to about 10.67 Pa, and a flow rate of from about 50 sccm to about 500 sccm.

5. The method of claim 3, wherein the halogen comprising plasma comprises at least one gas selected from the group consisting of BCl3, HBr, Br2, Cl2, I2, HCl, and HI.

6. The method of claim 1, wherein exposing the copper halide surface layer to a photon comprising ambient is performed by exposing the copper halide surface layer to a plasma comprising at least one gas selected from the group consisting of He, Ar, and H.

7. The method of claim 6, wherein the copper halide surface layer comprises CuxCly and the photon comprising ambient is a He plasma, and wherein exposing the copper halide surface layer to the photon comprising ambient is performed at a power of about 1000 Watt and a pressure of about 30 mTorr.

8. The method of claim 6, wherein the copper halide surface layer comprises CuBrx and the photon comprising ambient is a He plasma, and wherein exposing the copper halide surface layer to the photon comprising ambient is performed at a power of about 1000 Watt and a pressure of about 80 mTorr.

9. The method of claim 1, wherein converting at least part of the copper comprising surface layer into a copper halide surface layer and exposing the copper halide surface layer to a photon comprising ambient are performed simultaneously in a same reaction chamber.

10. The method of claim 1, further comprising, before converting at least part of the copper comprising surface layer into a copper halide surface layer, providing a pattern onto the copper comprising surface layer.

11. The method of claim 10, wherein providing a pattern onto the copper comprising surface layer is performed by:

providing a layer of photosensitive material onto the copper comprising surface layer; and
patterning the layer of photosensitive material by lithographic patterning to create at least one hole in the layer.

12. The method of claim 10, further comprising, after providing a pattern onto the copper comprising surface layer and removing at least part of the copper comprising surface layer, removing the photosensitive material.

13. The method of claim 1, further comprising, before converting at least part of the copper comprising surface layer into a copper halide surface layer, cleaning the substrate.

14. Use of the method of claim 1 in a method for patterning a copper comprising layer.

15. Use of the method of claim 1 in a method for patterning interconnect structures in a copper comprising layer of a semiconductor device.

Patent History
Publication number: 20090011604
Type: Application
Filed: Jun 27, 2008
Publication Date: Jan 8, 2009
Applicants: Interuniversitair Microelektronica Centrum vzw (IMEC) (Leuven), Katholieke Universiteit Leuven, K.U.LEUVEN R&D (Leuven)
Inventor: Dries Dictus (Kessel-lo)
Application Number: 12/147,922