INTEGRATED CIRCUITS HAVING SOURCE/DRAIN STRUCTURE AND METHOD OF MAKING

An integrated circuit includes a gate structure over a substrate. The integrated circuit includes a first silicon-containing material structure in a recess. The first silicon-containing material structure includes a first layer below a top surface of the substrate and in direct contact with the substrate. The first silicon-containing material structure includes a second layer over the first layer, wherein an entirety of the second layer is above the top surface of the substrate, a first region of the second layer closer to the gate structure is thinner than a second region of the second layer farther from the gate structure. The first silicon-containing material structure includes a third layer between the first layer and the second layer, wherein at least a portion of the third layer is below the top surface of the substrate.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
PRIORITY CLAIM

The present application is a divisional of U.S. application Ser. No. 15/726,530, filed Oct. 6, 2017, which is a continuation of U.S. application Ser. No. 14/312,871, filed Jun. 24, 2014, now U.S. Pat. No. 9,786,780, issued Oct. 10, 2017, which is a divisional of U.S. application Ser. No. 13/029,378, filed Feb. 17, 2011, now U.S. Pat. No. 8,778,767, issued Jul. 15, 2014, which claims priority of U.S. Provisional Application No. 61/414,946, filed Nov. 18, 2010, all of which are incorporated herein by reference in their entireties.

RELATED APPLICATIONS

The present application is related to U.S. application Ser. No. 12/886,743, filed Sep. 21, 2010, now U.S. Pat. No. 8,053,344, issued Nov. 8, 2011, which is incorporated herein by reference.

TECHNICAL FIELD

The present disclosure relates generally to the field of semiconductor devices, and more particularly, to integrated circuits and fabrication methods thereof.

BACKGROUND

The semiconductor integrated circuit (IC) industry has experienced rapid growth. Technological advances in IC materials and design have produced generations of ICs where each generation has smaller and more complex circuits than the previous generation. However, these advances have increased the complexity of processing and manufacturing ICs and, for these advances to be realized, similar developments in IC processing and manufacturing are needed.

In the course of IC evolution, functional density (i.e., the number of interconnected devices per chip area) has generally increased while geometry size (i.e., the smallest component (or line) that can be created using a fabrication process) has decreased. This scaling down process generally provides benefits by increasing production efficiency and lowering associated costs. Such scaling-down also produces a relatively high power dissipation value, which may be addressed by using low power dissipation devices such as complementary metal-oxide-semiconductor (CMOS) devices.

BRIEF DESCRIPTION OF THE DRAWINGS

The present disclosure is best understood from the following detailed description when read with the accompanying figures. It is emphasized that, in accordance with the standard practice in the industry, various features are not drawn to scale and are used for illustration purposes only. In fact, the numbers and dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.

FIG. 1 is a flowchart illustrating an exemplary method of forming an integrated circuit.

FIGS. 2A-2H are schematic cross-sectional views of an integrated circuit during various fabrication stages.

DETAILED DESCRIPTION

Generally, a plurality of ion implantations have been implemented for forming source/drain (S/D) regions, lightly-doped drain (LDD) regions, and pocket regions of transistors. For example, an N-type source/drain (NSD) process has a room-temperature phosphorus ion implantation that is provided to form a gradient dopant junction profile in a substrate. A room-temperature carbon ion implantation is then performed to the S/D regions to prevent over diffusion of phosphorus dopants into the substrate. A room-temperature arsenic ion implantation and a room-temperature phosphorus ion implantation are performed to form S/D doped regions. After the multiple ion implantations, a rapid thermal anneal (RTA) is performed to activate dopants and to cure damage resulting from the ion implantations. Silicide is then formed at the top of the S/D doped regions.

As noted, the process described above uses the room-temperature phosphorus ion implantation to form the junction profile. When the size of transistors is scaled down, the S/D junction profile may be too deep. The multiple ion implantations may also substantially damage the S/D regions. To cure the damage, a high thermal budget, e.g., a higher RTA temperature of about 1050° C. and/or a longer RTA time, may be applied. The high thermal budget may aggravate a short-channel effect (SCE) of the transistors. If a low thermal budget is applied, implantation damage may not be desirably cured. The low thermal budget may also result in a transient-enhanced diffusion (TED).

In other approaches for forming S/D regions of transistors, a selective-epitaxial-growth (SEG) process has been proposed. For forming the S/D regions, the substrate near the gate electrodes is recessed. The SEG process epitaxially grows a single silicon layer in the recessed substrate. It is found that, due to a process loading effect, the epitaxially-grown silicon layers grown in the core region and the input/output (110) region of the chip have different thicknesses. The thickness variation at the center and peripheral areas may be about 3 nanometer (nm) or more. In a worst-case scenario, the thickness variation may reach about 5 nm. If the thickness variation is large, a subsequent process, e.g. an etching process, to form contact holes exposing the S/D regions may overetch and/or underetch the epitaxially-grown silicon layers. The overetched and/or underetched silicon layers in the S/D regions located in different regions of the chip may affect electrical characteristics of transistors, e.g., resistances, currents, etc.

It is understood that the following descriptions provides many different embodiments, or examples, for implementing different features of the disclosure. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed. Moreover, the formation of a feature on, connected to, and/or coupled to another feature in the present disclosure that follows may include embodiments in which the features are formed in direct contact, and may also include embodiments in which additional features may be formed interposing the features, such that the features may not be in direct contact. In addition, spatially relative terms, for example, “lower,” “upper,” “horizontal,” “vertical,” “above,” “below,” “up,” “down,” “top,” “bottom,” etc. as well as derivatives thereof (e.g., “horizontally,” “downwardly,” “upwardly,” etc.) are used for ease of the present disclosure of one features relationship to another feature. The spatially relative terms are intended to cover different orientations of the device including the features.

Illustrated in FIG. 1 is a flowchart of an exemplary method of forming an integrated circuit. FIGS. 2A-2H are schematic cross-sectional views of an integrated circuit during various fabrication stages. The integrated circuit may include various passive and active microelectronic devices, such as resistors, capacitors, inductors, diodes, metal-oxide-semiconductor field effect transistors (MOSFET), complementary MOS (CMOS) transistors, bipolar junction transistors (BJT), laterally diffused MOS (LDMOS) transistors, high power MOS transistors, FinFET transistors, or other types of transistors. It is understood that FIGS. 2A-2H have been simplified for a better understanding of the concepts of the present disclosure. Accordingly, it should be noted that additional processes may be provided before, during, and after the method 100 of FIG. 1, and that some other processes may only be briefly described herein.

Referring now to FIG. 1, the method 100 can include forming a gate structure over a substrate (block 110). The method 100 can include removing portions of the substrate to form recesses adjacent to the gate structure (block 120). The method 100 can also include forming a silicon-containing material structure in each of the recesses. The silicon-containing material structure has a first region and a second region. The second region is closer to the gate structure than the first region. The first region is thicker than the second region (block 130).

Referring now to FIGS. 2A-2H in conjunction with FIG. 1, an integrated circuit 200 can be fabricated in accordance with the method 100 of FIG. 1. In FIG. 2A, the integrated circuit 200 can have a substrate 201. In some embodiments forming N-type transistors, the substrate 201 can be a silicon substrate doped with a P-type dopant, such as boron (resulting in a P-type substrate). In other embodiments, the substrate 201 may alternatively be made of some other suitable elementary semiconductor, such as diamond or germanium; a suitable compound semiconductor, such as silicon carbide, silicon germanium, indium arsenide, or indium phosphide; or a suitable alloy semiconductor, such as silicon germanium carbide, gallium arsenic phosphide, or gallium indium phosphide. Further, the substrate 201 could include an epitaxial layer (epi layer), may be strained for performance enhancement, and may include a silicon-on-insulator (SOI) structure.

Referring again to FIG. 2A, a gate structure 203 can be formed over a surface 201a of the substrate 201. In some embodiments forming an N-type transistor, the integrated circuit 200 can include source/drain (S/D) regions, e.g., S/D regions 207a and 207b, adjacent to sidewalls of the gate structure 203. In some embodiments, the gate structure 203 can be a conductive gate structure, e.g., a polysilicon gate structure, a metal gate structure, a dummy gate structure, or any suitable gate structure. For example, a conductive gate structure can have a stack structure including a gate dielectric layer, a conductive material layer, and/or other suitable layers. A metal gate structure can have a stack structure including a high dielectric constant gate layer, a diffusion barrier layer, a metal work function layer, a metallic layer, and/or other suitable layers. A dummy gate structure can have a stack structure including a dummy material layer, a hard mask layer, and/or other suitable layers.

In some embodiments forming an N-type transistor, N-type lightly-doped drains (LDDs) 209a and 209b can be formed in the substrate 201. Portions of the N-type LDDs 209a and 209b can be formed under the gate structure 203. The N-type LDDs 209a and 209b can be formed of n-type dopants (impurities). For example, the dopants can comprise phosphorous, arsenic, and/or other group V elements. In some embodiments, at least one thermal annealing process, e.g., a rapid thermal annealing (RTA) process, can be performed to activate the dopants of the N-type LDDs 209a and 209b. In other embodiments forming an N-type transistor, P-type pocket doped regions (not shown) can be formed in the substrate 201. The P-type pocket doped regions can be formed of P-type dopants (impurities). For example, the dopants can comprise boron and/or other group III elements.

Referring to FIGS. 1 and 2B, the method 100 can include removing portions of the substrate to form recesses adjacent to the gate structure (block 120). For example, recesses 208a and 208b are formed in the substrate 201 and adjacent to the gate structure 203 as shown in FIG. 2B. In some embodiments, spacers 211a and 211b can be formed on the sidewalls of the gate structure 203. The recesses 208a and 208b can be adjacent to the spacers 211a and 211b, respectively. In some embodiments, the spacers 211a and 211b can be made of at least one material, such as silicon nitride, silicon oxynitride, silicon carbide, silicon oxycarbide, other spacer materials, and/or any combinations thereof. In other embodiments, the spacers 211a and 211b can be referred to as offset spacers.

Referring to FIGS. 1 and 2C-2H, the method 100 can include forming a silicon-containing material structure in each of the recesses (block 130). In some embodiments, the block 130 can include performing an epitaxial deposition/partial etch process and repeating the epitaxial deposition/partial etch process at least once. For example, the block 130 can include epitaxially depositing a silicon-containing material, e.g., a silicon-containing material 215, in each of the recesses 208a-208b as shown in FIG. 2C.

In some embodiments forming an N-type transistor, the silicon-containing material 215 can be made of at least one material, such as silicon, silicon carbide, other semiconductor materials, and/or any combinations thereof. The deposition of the silicon-containing material 215 can use at least one silicon-containing precursor, such as silane (SiH4), disilane (Si2H6), trisilane (Si3H8), Dichlorosilane (SiH2Cl2), another silicon-containing precursor, and/or any combinations thereof. In some embodiments, the silicon-containing precursor can have a flow rate ranging from about 20 standard cubic centimeters per minute (sccm) to about 500 sccm. In other embodiments forming a P-type transistor, the silicon-containing material 215 can be made of at least one material, such as silicon, silicon germanium, other semiconductor materials, and/or any combinations thereof.

In some embodiments, the silicon-containing layer 215 can be formed by chemical vapor deposition (CVD), e.g., low pressure CVD (LPCVD), atomic layer CVD (ALCVD), ultrahigh vacuum CVD (UHVCVD), reduced pressure CVD (RPCVD), any suitable CVD; molecular beam epitaxy (MBE) process; any suitable epitaxial process; or any combinations thereof. In some embodiments, the deposition of the silicon-containing material 215 can have a deposition temperature of about 750° C. or less. In other embodiments, the etching temperature can range from about 500° C. to about 750° C. The pressure of the deposition process can range from about 50 Torr to about 500 Torr.

In some embodiments, the deposition of the silicon-containing material 215 can include in-situ doping the silicon-containing material 215. For example, forming an N-type transistor can use an N-type doping precursor, e.g., phosphine (PH3) and/or other N-type doping precursor. In some embodiments, the N-type doping precursor can have a flow rate ranging from about 20 sccm to about 500 sccm. By using the in-situ doping process, the dopant profile of the silicon-containing material 215 can be desirably achieved. In some embodiments, the silicon-containing material 215 can be an N-type doped silicon layer that is doped with phosphorus. The phosphorus-doped silicon layer can be referred to as a silicon phosphorus (SiP) layer.

Referring to FIG. 2D, a process 220 can remove a portion of the silicon-containing material 215 in each of the recesses 208a-208b. The remaining silicon-containing material 215a can be formed in each of the recesses 208a-208b. In some embodiments, the process 220 can use an etching gas including at least one of hydrogen chloride (HCl), chlorine (Cl2), germanium hydride (GeH4), other suitable etching gases, and/or any combinations thereof. The flow rate of the etching gas can range from about 30 sccm to about 300 sccm. The pressure of the process 220 can range from about 50 Torr to about 500 Torr. In some embodiments, the process 220 can have an etching temperature of about 750° C. or less. In other embodiments, the etching temperature can range from about 500° C. to about 750° C.

Referring to FIGS. 1 and 2E, the block 130 can include another deposition process. For example, a silicon-containing material 225 can be epitaxially deposited on the remaining silicon-containing material 215a as shown in FIG. 2E. In some embodiments, the material and/or method of forming the silicon-containing material 225 can be as same as or similar to those of the silicon-containing material 215 as described above in conjunction with FIG. 2C. In other embodiments, the silicon-containing material 225 may have a dopant concentration different from that of the silicon-containing material 215.

Referring to FIGS. 1 and 2F, the block 130 can include another etching process. For example, a process 230 can remove a portion of the silicon-containing material 225 in each of the recesses 208a-208b. The remaining silicon-containing material 225a can be formed on the remaining silicon-containing material 215a. In some embodiments, the process 230 can be as same as or similar to the process 220 described above in conjunction with FIG. 2D.

Referring to FIGS. 1 and 2G, in some embodiments the block 130 can further include forming a silicon-containing material 235a on the remaining silicon-containing material 225a. The process of forming of the silicon-containing material 235a can be as same as or similar to the process of forming the remaining silicon-containing material 215a or 225a described above in conjunction with FIGS. 2C-2D and 2E-2F, respectively.

Referring to FIGS. 1 and 2H, in some embodiments the block 130 can further include forming a silicon-containing material 245a on the silicon-containing material 235a. The process of forming of the silicon-containing material 245a can be as same as or similar to the process of forming the remaining silicon-containing material 215a or 225a described above in conjunction with FIGS. 2C-2D and 2E-2F, respectively.

In some embodiments, the silicon-containing material 245a can have a central portion 246a and an edge portion 246b. The edge portion 246b is closer to the gate structure 203 and the spacer 211b than the central portion 246a. Due to the substantial material difference between the silicon-containing material 245a and the spacer 221b, the epitaxial growth of the edge portion 246b may be clamped and slower than that of the central portion 246a. In some embodiments, the edge portion 246b can extend from the spacer 211b to the central portion 246a.

In some embodiments, the silicon-containing materials 215a-245a can be referred to as a silicon-containing material structure 213. The silicon-containing material structure 213 can have regions 213a and 213b. The region 213b can be closer to the gate structure 203 and the spacer 211a than the region 213a. In some embodiments, the region 213b can have an angle Θ with respect to the surface 201a of the substrate 201. The angle Θ can range from about 30° to about 80°. In other embodiments, the regions 213a and 213b can have thicknesses T1 and T2, respectively. The thickness T1 is larger than the thickness T2. In some embodiments, a top surface of the region 213a can be substantially planar. In other embodiments, the top surface of the region 213a can be arched or rounded.

It is found that, by repeating the epitaxial deposition-etching process as described above, the thickness variation of the silicon-containing material structures 213 formed in a central region and a periphery region of a chip can be reduced. For example, the silicon-containing material structures can be formed in a core region and an I/O region of a chip. The thickness variation of the silicon-containing material structures 213 formed in the core region and the I/O region can be about 2 nm or less. By reducing the thickness variation of the silicon-containing material structures 213, substantial uniform electrical characteristics, e.g., resistances, on currents, off currents, and/or other electrical characteristics, of transistors can be achieved.

It is noted that since the deposition temperature and etching temperature are about 750° C. or less, the N-type dopants or P-type dopants in the silicon-containing material 215a-245a are subjected to low temperature thermal cycles. The dopants are less diffused by the thermal cycles. The dopant profile of the silicon-containing material structure 213 can be desirably achieved.

It is also noted that the silicon-containing material structure 213 shown in FIG. 2H is merely exemplary. In some embodiments, interfaces between the silicon-containing materials 215a-245a may not exist due to the nature of epitaxial deposition. In other embodiments, the interface between the silicon-containing materials 235a-245a may be substantially level with the surface 201a of the substrate 201. In still other embodiments, the interface between the silicon-containing materials 235a-245a may be higher or lower than the surface 201a of the substrate 201.

As noted, the processes of the method 100 described above in conjunction with FIGS. 1 and 2A-2H are merely exemplary. The method 100 can include different steps according to different process flows. For example, the gate structure 203 can be formed by a gate-first process or a gate-last process. In some embodiments using a gate-last process, the method 100 can include a gate replacing process. The gate structure 203 can be a dummy gate structure. The dummy gate structure 203 can each include a dummy gate material and a hard mask material formed thereover. The dummy gate material can be made of at least one material such as polysilicon, amorphous silicon, silicon oxide, silicon nitride, a material having an etching rate that is substantially different from the spacers (shown in FIG. 2B).

For the gate-last process, the hard mask materials and the dummy gate materials can be removed, for example, by a wet etch process, a dry etch process, or any combinations thereof. After removing the dummy gate materials, the method 100 can include forming gate electrode material within openings in which the dummy gate materials are disposed. In some embodiments, the gate electrode material can be a stack structure including a diffusion barrier layer, a metallic work function layer, a metallic conductive layer, and/or other suitable material layers.

In some embodiments, at least one high dielectric constant (high-k) layer (not shown) can be formed under the gate electrode material. The high-k dielectric layer can include high-k dielectric materials such as HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, other suitable high-k dielectric materials, or any combinations thereof. In some embodiments, the high-k material may further be selected from metal oxides, metal nitrides, metal silicates, transition metal-oxides, transition metal-nitrides, transition metal-silicates, oxynitrides of metals, metal aluminates, zirconium silicate, zirconium aluminate, silicon oxide, silicon nitride, silicon oxynitride, zirconium oxide, titanium oxide, aluminum oxide, hafnium dioxide-alumina alloy, other suitable materials, or any combinations thereof.

In some embodiments, the diffusion barrier can be configured to prevent metallic ions of the work function metal material from diffusing into the gate dielectric material. The diffusion barrier may comprise at least one material such as aluminum oxide, aluminum, aluminum nitride, titanium, titanium nitride, tantalum, tantalum nitride, other suitable material, and/or combinations thereof.

In some embodiments, the metallic work function layer can include at least one P-metal work function layer and/or at least one N-metal work function layer. The P-type work function materials can include compositions such as ruthenium, palladium, platinum, cobalt, nickel, and conductive metal oxides, and/or other suitable materials. The N-type metal materials can include compositions such as hafnium, zirconium, titanium, tantalum, aluminum, metal carbides (e.g., hafnium carbide, zirconium carbide, titanium carbide, aluminum carbide), aluminides, and/or other suitable materials. In some embodiments, the metallic conductive layer can be made of at least one material, such as aluminum, copper, Ti, TiN, TaN, Ta, TaC, TaSiN, W, WN, MoN, MoON, RuO2, and/or other suitable materials.

In some embodiments, dielectric materials, contact plugs, via plugs, metallic regions, and/or metallic lines (not shown) can be formed over the gate electrode portions for interconnection. The dielectric layers may include materials such as silicon oxide, silicon nitride, silicon oxynitride, low-k dielectric material, ultra low-k dielectric material, or any combinations thereof. The via plugs, metallic regions, and/or metallic lines can include materials such as tungsten, aluminum, copper, titanium, tantalum, titanium nitride, tantalum nitride, nickel silicide, cobalt silicide, other proper conductive materials, and/or combinations thereof. The via plugs, metallic regions, and/or metallic lines can be formed by any suitable processes, such as deposition, photolithography, and etching processes, and/or combinations thereof.

An aspect of this description relates to an integrated circuit. The integrated circuit includes a gate structure over a substrate. The integrated circuit further includes a first silicon-containing material structure in a recess adjacent to the gate structure. The first silicon-containing material structure includes a first layer having an uppermost surface below a top surface of the substrate and a bottommost surface in direct contact with the substrate. The first silicon-containing material structure further includes a second layer over the first layer, wherein an entirety of the second layer is above the top surface of the substrate, a first region of the second layer closer to the gate structure is thinner than a second region of the second layer farther from the gate structure, thickness is measured in a direction perpendicular to the top surface of the substrate, and a width, measured perpendicular to the thickness of the second layer is equal to a width of the first layer. The first silicon-containing material structure further includes a third layer between the first layer and the second layer, wherein at least a portion of the third layer is below the top surface of the substrate. In some embodiments, the third layer is doped. In some embodiments, the third layer has a different dopant concentration from the first layer. In some embodiments, a crystal structure of the first layer is a same crystal structure as the third layer. In some embodiments, an angle between a top surface of the second layer adjacent to the gate structure and the top surface of the substrate ranges from about 30-degrees to about 80-degrees. In some embodiments, the integrated circuit includes a second silicon-containing material structure in the substrate and spaced from the gate structure. In some embodiments, a difference between a total thickness of the first silicon-containing material structure and a total thickness of the second silicon-containing material structure is about 2 nanometers (nm) or less.

An aspect of this description relates to a method of making a semiconductor device. The method includes forming a gate structure on a substrate. The method further includes etching the substrate to define a recess adjacent to the gate structure. The method further includes forming a first layer in the recess, wherein a bottom surface of the first layer directly contacts the substrate. The method further includes etching the first layer. The method further includes forming a second layer over the etched first layer, wherein an entirety of the second layer is co-planar with or above a top surface of the substrate. The method further includes etching the second layer, wherein the etched second layer includes a first region adjacent to the gate structure having a variable thickness, a second region spaced from the gate structure, and the second region having a substantially uniform thickness greater than the variable thickness. In some embodiments, the method further includes replacing the gate structure with a metal gate structure. In some embodiments, the forming of the first layer includes depositing the first layer at a temperature of about 750° C. or less. In some embodiments, the etching of the first layer includes etching the first layer at a temperature ranging from about 500° C. to about 750° C. In some embodiments, the forming of the second layer includes depositing the second layer at a temperature of about 750° C. or less. In some embodiments, the forming of the first layer includes depositing the first layer using an epitaxial process.

An aspect of this description relates to a method of making a semiconductor device. The method includes forming a lightly doped drain (LDD) region in a substrate. The method further includes forming a gate structure over the substrate, wherein the gate structure comprises a spacer. The method further includes etching the substrate to define a recess adjacent to the gate structure. The method further includes epitaxially depositing a first layer in the recess. The method further includes epitaxially depositing a second layer over the first layer, wherein an entirety of a top-most surface of the second layer is below or co-planar with a top-most surface of the substrate, and the LDD region directly contacts an interface between the first layer and the second layer. The method further includes epitaxially depositing a third layer over the second layer, wherein the third layer comprises a first region adjacent to the gate structure and a second region spaced from the gate structure, the first region has a variable thickness, and the second region has a substantially uniform thickness. In some embodiments, the method further includes removing a portion of the gate structure adjacent the spacer. In some embodiments, epitaxially depositing the first layer includes epitaxially depositing the first layer in direct contact with the substrate. In some embodiments, etching the substrate includes removing a portion of the LDD region. In some embodiments, the method further includes epitaxially depositing a fourth layer in the recess, wherein the fourth layer is below the first layer. In some embodiments, epitaxially depositing the fourth layer includes epitaxially deposing the fourth layer in direct contact with a bottom-most surface of the recess. In some embodiments, epitaxially depositing the third layer includes defining an angle between a top-most surface of the third layer adjacent to the gate structure and the top-most surface of the substrate ranging from about 30-degrees to about 80-degrees.

The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims

1. An integrated circuit comprising:

a gate structure over a substrate; and
a first silicon-containing material structure in a recess adjacent to the gate structure, wherein the first silicon-containing material structure comprises:
a first layer having an uppermost surface below a top surface of the substrate and a bottommost surface in direct contact with the substrate;
a second layer over the first layer, wherein an entirety of the second layer is above the top surface of the substrate, a first region of the second layer closer to the gate structure is thinner than a second region of the second layer farther from the gate structure, thickness is measured in a direction perpendicular to the top surface of the substrate, and a width, measured perpendicular to the thickness, of the second layer is equal to a width of the first layer; and
a third layer between the first layer and the second layer, wherein at least a portion of the third layer is below the top surface of the substrate.

2. The integrated circuit of claim 1, wherein the third layer is doped.

3. The integrated circuit of claim 2, wherein the third layer has a different dopant concentration from the first layer.

4. The integrated circuit of claim 2, wherein a crystal structure of the first layer is a same crystal structure as the third layer.

5. The integrated circuit of claim 1, wherein an angle between a top surface of the second layer adjacent to the gate structure and the top surface of the substrate ranges from about 30-degrees to about 80-degrees.

6. The integrated circuit of claim 1, further comprising a second silicon-containing material structure in the substrate and spaced from the gate structure.

7. The integrated circuit of claim 6, wherein a difference between a total thickness of the first silicon-containing material structure and a total thickness of the second silicon-containing material structure is about 2 nanometers (nm) or less.

8. A method of making a semiconductor device, the method comprising:

forming a gate structure on a substrate;
etching the substrate to define a recess adjacent to the gate structure;
forming a first layer in the recess, wherein a bottom surface of the first layer directly contacts the substrate;
etching the first layer;
forming a second layer over the etched first layer, wherein an entirety of the second layer is co-planar with or above a top surface of the substrate; and
etching the second layer, wherein the etched second layer comprises a first region adjacent to the gate structure having a variable thickness, a second region spaced from the gate structure, and the second region having a substantially uniform thickness greater than the variable thickness.

9. The method of claim 8, further comprising replacing the gate structure with a metal gate structure.

10. The method of claim 8, wherein the forming of the first layer comprises depositing the first layer at a temperature of about 750° C. or less.

11. The method of claim 10, wherein the etching of the first layer comprises etching the first layer at a temperature ranging from about 500° C. to about 750° C.

12. The method of claim 10, wherein the forming of the second layer comprises depositing the second layer at a temperature of about 750° C. or less.

13. The method of claim 10, wherein the forming of the first layer comprises depositing the first layer using an epitaxial process.

14. A method of making a semiconductor device, the method comprising:

forming a lightly doped drain (LDD) region in a substrate;
forming a gate structure over the substrate, wherein the gate structure comprises a spacer;
etching the substrate to define a recess adjacent to the gate structure;
epitaxially depositing a first layer in the recess;
epitaxially depositing a second layer over the first layer, wherein an entirety of a top-most surface of the second layer is below or co-planar with a top-most surface of the substrate, and the LDD region directly contacts an interface between the first layer and the second layer; and
epitaxially depositing a third layer over the second layer, wherein the third layer comprises a first region adjacent to the gate structure and a second region spaced from the gate structure, the first region has a variable thickness, and the second region has a substantially uniform thickness.

15. The method of claim 14, further comprising removing a portion of the gate structure adjacent the spacer.

16. The method of claim 14, wherein epitaxially depositing the first layer comprises epitaxially depositing the first layer in direct contact with the substrate.

17. The method of claim 14, wherein etching the substrate comprises removing a portion of the LDD region.

18. The method of claim 14, further comprising epitaxially depositing a fourth layer in the recess, wherein the fourth layer is below the first layer.

19. The method of claim 18, wherein epitaxially depositing the fourth layer comprises epitaxially deposing the fourth layer in direct contact with a bottom-most surface of the recess.

20. The method of claim 14, wherein epitaxially depositing the third layer comprises defining an angle between a top-most surface of the third layer adjacent to the gate structure and the top-most surface of the substrate ranging from about 30-degrees to about 80-degrees.

Patent History
Publication number: 20200350432
Type: Application
Filed: Jul 20, 2020
Publication Date: Nov 5, 2020
Patent Grant number: 11373867
Inventors: Shih-Hsien HUANG (Renwu Township), Yi-Fang PAI (Hsinchu City), Chien-Chang SU (Kaohsiung City)
Application Number: 16/933,470
Classifications
International Classification: H01L 29/78 (20060101); H01L 29/66 (20060101); H01L 21/02 (20060101); H01L 29/06 (20060101);