Patents Issued in April 14, 2009
  • Patent number: 7517770
    Abstract: Disclosed is a technique of manufacturing a semiconductor device and a corresponding device. A metal line may be formed in a semiconductor device using a photoresist pattern with an oxide layer formed on the surface of a metal film, in accordance with embodiments. A heat-treatment process on a metal film may be performed to form an oxide-based thin film on a surface of the metal film. A photoresist pattern may be formed over a metal film. A metal film may be etched using a photoresist pattern as a mask. In embodiments, heat-treatment of a metal film may be performed in-situ using a baking unit provided in a track device that performs photo processing. Etching a metal film and etching an oxide-based thin film may be performed simultaneously.
    Type: Grant
    Filed: October 18, 2006
    Date of Patent: April 14, 2009
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Chang Young Hong
  • Patent number: 7517771
    Abstract: A method for manufacturing a semiconductor device includes steps of: forming a trench on a semiconductor substrate, which is made of silicon; and filling the trench with an epitaxial layer. The epitaxial layer is made of silicon, and the step of filling the trench includes a step of performing a plasma CVD method with using a silicon source gas. By using anisotropic character of a plasma, the epitaxial layer is selectively deposited on a bottom of the trench. Thus, the trench is filled with the epitaxial layer having no void.
    Type: Grant
    Filed: August 1, 2006
    Date of Patent: April 14, 2009
    Assignee: DENSO CORPORATION
    Inventors: Takumi Shibata, Shoichi Yamauchi, Hitoshi Yamaguchi, Masaru Hori
  • Patent number: 7517772
    Abstract: A method to selectively etch, and hence pattern, a semiconductor film deposited non-selectively is described. In one embodiment, a carbon-doped silicon film is deposited non-selectively such that the film forms an epitaxial region where deposited on a crystalline surface and an amorphous region where deposited on an amorphous surface. A four-component wet etch mixture is tuned to selectively etch the amorphous region while retaining the epitaxial region, wherein the four-component wet etch mixture comprises an oxidizing agent, an etchant, a buffer and a diluent.
    Type: Grant
    Filed: February 20, 2008
    Date of Patent: April 14, 2009
    Assignee: Intel Corporation
    Inventors: Willy Rachmady, Anand Murthy
  • Patent number: 7517773
    Abstract: A method of manufacturing a semiconductor device characterized by its high-speed operation and high reliability is provided in which a semiconductor layer crystallized by a CW laser is used for an active layer of a TFT. When a semiconductor layer is crystallized by a CW laser, one part is formed of large crystal grains whereas another part is formed of microcrystals due to the width-wise energy density distribution. The former exhibits excellent electric characteristics. The latter has poor electric characteristics because grain boundaries hinder movement of electric charges, and therefore causes inconveniences when used as an active layer of a transistor. Accordingly, circuits are arranged such that a semiconductor layer formed of large crystal grains is used for the active layer of every TFT.
    Type: Grant
    Filed: July 6, 2006
    Date of Patent: April 14, 2009
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Yoshifumi Tanada, Kazuya Nakajima
  • Patent number: 7517774
    Abstract: In crystallizing an amorphous silicon film by illuminating it with linear pulse laser beams having a normal-distribution type beam profile or a similar beam profile, the linear pulse laser beams are applied in an overlapped manner. There can be obtained effects similar to those as obtained by a method in which the laser illumination power is gradually increased and then decreased in a step-like manner in plural scans.
    Type: Grant
    Filed: April 16, 2007
    Date of Patent: April 14, 2009
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Naoto Kusumoto, Koichiro Tanaka
  • Patent number: 7517775
    Abstract: The invention generally teaches a method for depositing a silicon film or silicon germanium film on a substrate comprising placing the substrate within a process chamber and heating the substrate surface to a temperature in the range from about 600° C. to about 900° C. while maintaining a pressure in the range from about 0.1 Torr to about 200 Torr. A deposition gas is provided to the process chamber and includes SiH4, an optional germanium source gas, an etchant, a carrier gas and optionally at least one dopant gas. The silicon film or the silicon germanium film is selectively and epitaxially grown on the substrate. One embodiment teaches a method for depositing a silicon-containing film with an inert gas as the carrier gas. Methods may include the fabrication of electronic devices utilizing selective silicon germanium epitaxial films.
    Type: Grant
    Filed: May 30, 2006
    Date of Patent: April 14, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Yihwan Kim, Arkadii V. Samoilov
  • Patent number: 7517776
    Abstract: A method for controlling dislocation position in a silicon germanium buffer layer located on a substrate includes irradiating one or more regions of the silicon germanium layer with a dislocation inducing agent and depositing a strained silicon germanium layer on the substrate. The dislocation inducing agent may include ions, electrons, or other radiation source. Dislocations in the silicon germanium layer are located in one or more of the regions. The substrate and strained silicon germanium layer may then be subjected to an annealing process to transform the strained silicon germanium layer into a relaxed state. A top layer of strained silicon or silicon germanium may be deposited on the relaxed silicon germanium layer. Semiconductor-based devices may then be fabricated in the non-damaged regions of the strained silicon or silicon germanium layer. Threading dislocations are confined to damaged areas which may be transformed into SiO2 isolation regions.
    Type: Grant
    Filed: January 29, 2007
    Date of Patent: April 14, 2009
    Assignee: The Regents of the University of California
    Inventors: Ya-Hong Xie, Tae-Sik Yoon
  • Patent number: 7517777
    Abstract: The method of manufacturing a semiconductor device includes forming a p-type anode layer and an anode electrode on one major surface of an n-type semiconductor substrate, irradiating an electron beam to the semiconductor substrate to introduce crystal defects into the semiconductor substrate, grinding the other major surface of semiconductor substrate to reduce the thickness the semiconductor substrate, implanting phosphorus ions from the exposed surface of semiconductor substrate, and irradiating pulsed YAG laser beams by the double pulse technique to the exposed surface, from which the phosphorus ions have been implanted, to activate the implanted phosphorus atoms and to recover the region extending from the exposed surface irradiated with the YAG laser beams to the depth corresponding to 5 to 30% of the total wafer thickness from the defective state caused by the crystal defects introduced therein.
    Type: Grant
    Filed: August 14, 2006
    Date of Patent: April 14, 2009
    Assignee: Fuji Electric Device Technology Co., Ltd.
    Inventors: Michio Nemoto, Mitsuaki Kirisawa, Haruo Nakazawa
  • Patent number: 7517778
    Abstract: A method for fabricating a chip package is achieved. A seed layer is formed over a silicon wafer. A photoresist layer is formed on the seed layer, an opening in the photoresist layer exposing the seed layer. A first solder bump is formed on the seed layer exposed by the opening. The photoresist layer is removed. The seed layer not under the first solder bump is removed. A second solder bump on a chip is joined to the first solder bump.
    Type: Grant
    Filed: September 14, 2007
    Date of Patent: April 14, 2009
    Assignee: Megica Corporation
    Inventors: Jin Yuan Lee, Mou-Shiung Lin
  • Patent number: 7517779
    Abstract: A method of forming an integrated circuit transistor (50). The method provides a first semiconductor region (52) and forms (110) a gate structure (54x) in a fixed position relative to the first semiconductor region. The gate structure has a first sidewall and a second sidewall (59x). The method also forms at least a first layer (58x, 60x) adjacent the first sidewall and the second sidewall. The method also forms (120) at least one recess (62x) in the first semiconductor region and extending laterally outward from the gate structure. Additional steps in the method are first, oxidizing (130) the at least one recess such that an oxidized material is formed therein, second, stripping (140) at least a portion of the oxidized material, and third, forming (160) a second semiconductor region (66x) in the at least one recess.
    Type: Grant
    Filed: July 2, 2007
    Date of Patent: April 14, 2009
    Assignee: Texas Instruments Incorporated
    Inventor: Lindsey H. Hall
  • Patent number: 7517780
    Abstract: A method of manufacturing a semiconductor device includes providing a first layer over a wafer substrate, providing a polysilicon layer over the first layer, implanting nitrogen ions into the polysilicon layer, forming a polycide layer over the polysilicon layer, and forming source and drain regions.
    Type: Grant
    Filed: January 28, 2005
    Date of Patent: April 14, 2009
    Assignee: Macronix International Co., Ltd.
    Inventors: Ling-Wuu Yang, Kuang-Chao Chen, Tuung Luoh
  • Patent number: 7517781
    Abstract: A method for manufacturing a semiconductor device, includes sequentially forming a first insulation film and a dummy gate electrode on a semiconductor substrate; forming a lightly doped junction region by using the dummy gate electrode as a mask, forming a first spacer on a side wall of the dummy gate electrode, and then forming a heavily doped junction region. The method further includes forming a second insulation film on the semiconductor substrate where the heavily doped junction region is formed, and removing the dummy gate electrode to form a cavity exposing a portion of the first insulation layer; forming a second spacer on a side wall of the cavity; sequentially forming a gate insulation film and a gate conductor on the second spacer, and then removing the second insulation film and a portion of the gate insulation film; and forming a salicide film on a top of the gate conductor and in the lightly doped junction region.
    Type: Grant
    Filed: December 12, 2006
    Date of Patent: April 14, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jeong-Ho Park
  • Patent number: 7517782
    Abstract: By performing an electroless deposition and an electro deposition process in situ, highly reliable metallizations may be provided, wherein limitations with respect to contaminations and device scaling, encountered by conventional chemical vapor deposition (CVD), atomic layer deposition (ALD) and physical vapor deposition (PVD) techniques for the formation of seed layers may be overcome. In some embodiments, a barrier layer is also deposited on the basis of a wet chemical deposition process.
    Type: Grant
    Filed: September 28, 2006
    Date of Patent: April 14, 2009
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Axel Preusse, Susanne Wehner, Markus Nopper
  • Patent number: 7517783
    Abstract: Methods of forming transparent conducting oxides and devices formed by these methods are shown. Monolayers that contain indium and monolayers that contain molybdenum are deposited onto a substrate and subsequently processed to form molybdenum-doped indium oxide. The resulting transparent conducing oxide includes properties such as an amorphous or nanocrystalline microstructure. Devices that include transparent conducing oxides formed with these methods have better step coverage over substrate topography and more robust film mechanical properties.
    Type: Grant
    Filed: February 13, 2007
    Date of Patent: April 14, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 7517784
    Abstract: A method for producing transparent p-type conducting oxide films without co-doping plasma enhancement or high temperature comprising: a) introducing a dialkyl metal at ambient temperature and a saturated pressure in a carrier gas into a low pressure deposition chamber, and b) introducing NO alone or with an oxidizer into the chamber under an environment sufficient to produce a metal-rich condition to enable NO decomposition and atomic nitrogen incorporation into the formed transparent metal conducting oxide.
    Type: Grant
    Filed: April 2, 2002
    Date of Patent: April 14, 2009
    Assignee: Alliance For Sustainable Energy, LLC
    Inventors: Xiaonan Li, Yanfa Yan, Timothy J. Coutts, Timothy A. Gessert, Clay M. Dehart
  • Patent number: 7517785
    Abstract: A method for making an interconnect is provided. The method includes depositing a conductive layer on a substrate, depositing a protective layer on the conductive layer, patterning the protective layer to form openings to the conductive layer, depositing contact pads on the conductive layer through the openings in the protective layer, the contact pads comprising a conductive material, and patterning the conductive layer and the protective layer to form electrical traces on the substrate.
    Type: Grant
    Filed: October 21, 2005
    Date of Patent: April 14, 2009
    Assignee: General Electric Company
    Inventors: Kevin Matthew Durocher, James Wilson Rose
  • Patent number: 7517786
    Abstract: The invention includes a semiconductor construction having a wire bonding region associated with a metal-containing layer, and having radiation-imageable material over the metal-containing layer. The radiation-imageable material can be configured as a multi-level pattern having a first topographical region with a first elevational height and a second topographical region with a second elevational height above the first elevational height. The second topographical region can be laterally displaced from the bonding region by at least a lateral width of the first topographical region, with said lateral width being at least about 10 microns. Additionally, or alternatively, the elevational height of the second topographical region can be at least about 2 microns above the elevational height of the first topographical region.
    Type: Grant
    Filed: June 28, 2006
    Date of Patent: April 14, 2009
    Assignee: Micron Technology, Inc.
    Inventors: John Aiton, Joseph M. Richards, J. Brett Roltson, John M. Drynan
  • Patent number: 7517787
    Abstract: In one embodiment, the invention provides a method comprising fabricating a die bump on a die, the die bump being shaped and dimensioned to at least reduce the flow of solder material used, to attach the die bump to a package substrate, towards an under bump metallurgy (UBM) layer located below the die bump. Advantageously, the method may comprise performing a substrate reflow operation to attach the package substrate to the die bump, without performing a separate wafer reflow operation to reflow the die bump.
    Type: Grant
    Filed: March 22, 2005
    Date of Patent: April 14, 2009
    Assignee: Intel Corporation
    Inventors: Sairam Agraharam, Carlton Hanna, Dongming He, Vasudeva Atluri, Debendra Mallik, Matthew Escobido, Sujit Sharan
  • Patent number: 7517788
    Abstract: According to some embodiments, a method, apparatus, and system are provided. In some embodiments, the method includes providing solder resist material on a surface of a substrate, applying mask material on top of the solder resist material, reflowing solder located in an opening formed through both the solder resist material and the mask material, and removing the mask material after the reflowing of the solder.
    Type: Grant
    Filed: December 29, 2005
    Date of Patent: April 14, 2009
    Assignee: Intel Corporation
    Inventors: Mengzhi Pang, Christopher J. Bahr, Ravindra Tanikella, Charan Gurumurthy
  • Patent number: 7517789
    Abstract: A solder bump structure and method for forming the same. The structure includes (a) a dielectric layer including a dielectric layer top surface (b) an electrically conductive bond pad on and in direct physical contact with the dielectric layer top surface; (c) a patterned support/interface layer on the dielectric layer top surface and thicker than the electrically conductive bond pad in the reference direction, wherein the patterned support/interface layer includes a hole and a trench, wherein the hole is directly above the electrically conductive bond pad, and wherein the trench is not filled by any electrically conductive material; and (d) an electrically conductive solder bump filling the hole and electrically coupled to the electrically conductive bond pad.
    Type: Grant
    Filed: October 10, 2007
    Date of Patent: April 14, 2009
    Assignee: International Business Machines Corporation
    Inventors: Timothy H. Daubenspeck, Jeffrey P. Gambino, Christopher D. Muzzy, Wolfgang Sauter
  • Patent number: 7517790
    Abstract: A method is disclosed of repairing wire bond damage on semiconductor chips such as high speed semiconductor microprocessors, application specific integrated circuits (ASICs), and other high speed integrated circuit devices, particularly devices using low-K dielectric materials. The method involves surface modification using reactive liquids. In a preferred embodiment, the method comprises applying a silicon-containing liquid reagent precursor such as TEOS to the surface of the chip and allowing the liquid reagent to react with moisture to form a solid dielectric plug or film (50) to produce a barrier against moisture ingress, thereby enhancing the temperature/humidity/bias (THB) performance of such semiconductor devices.
    Type: Grant
    Filed: February 8, 2005
    Date of Patent: April 14, 2009
    Assignee: International Business Machines Corporation
    Inventors: John A. Fitzsimmons, Stephen M. Gates, Michael W. Lane, Eric G. Liniger
  • Patent number: 7517791
    Abstract: It is an object of the present invention to provide a method for manufacturing a semiconductor device in which a contact hole with an opening having a high aspect ratio can be favorably filled without using a conventional CMP process. It is another object of the present invention to provide a method for forming a wiring with fewer steps than a conventional method and to provide a method for manufacturing a highly integrated semiconductor device with a high yield. According to the present invention, a film having a water repellent surface is formed over a surface of an insulating film having plural air holes, a region having a hydrophilic surface is formed by irradiating with light a part of the film having the water repellent surface, and a conductive film is formed by discharging and baking a liquid material having a conductive particle over the region having the hydrophilic surface.
    Type: Grant
    Filed: November 22, 2005
    Date of Patent: April 14, 2009
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Masafumi Morisue, Shunpei Yamazaki
  • Patent number: 7517792
    Abstract: A semiconductor device includes an interconnection structure in which via-plug density is higher in an upper layer part than a lower layer part, wherein the peeling of the lower via-plugs at the time of formation of the upper-via-plugs is avoided by restricting the density of the upper s, defined for a unit area having a size of 50-100 ?m for each edge, to be 60% or less.
    Type: Grant
    Filed: October 23, 2006
    Date of Patent: April 14, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Toshio Takayama, Tetsuya Itou
  • Patent number: 7517793
    Abstract: A method of forming a metal wire in a semiconductor device includes performing a first etching process on an insulating layer formed on a semiconductor substrate to form a trench and an insulating layer pattern, the insulating layer pattern defining the trench. A barrier metal layer is formed over the insulating layer pattern and the trench. A second etching process is performed on the barrier metal layer to expose upper corners of the trench while leaving the trench substantially covered with the barrier metal layer. A metal layer is formed over the barrier metal layer in the trench. A heat treatment process is performed for reflowing the metal layer. The metal layer is planarized.
    Type: Grant
    Filed: May 24, 2007
    Date of Patent: April 14, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventors: Seung Hee Hong, Cheol Mo Jeong, Jung Geun Kim, Eun Soo Kim
  • Patent number: 7517794
    Abstract: One embodiment of the present invention is a method for fabricating a nanoscale shift register. In a described embodiment, a nanoimprinting-resist layer applied above a silicon-on-insulator substrate is nanoimprinted to form troughs and trough segments. The silicon layer exposed at the bottom of the troughs and trough segments is then etched, and a conductive material is deposited into the troughs to form nanowires and into the trough segments to form nanowire segments. The exposed surfaces of nanowires are coated with a protective coating, and the conductive material of the nanowire segments is then removed to produce trough segments etched through the nanoimprinting resist and the silicon layer.
    Type: Grant
    Filed: October 21, 2005
    Date of Patent: April 14, 2009
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Gregory S. Snider, Phillip J. Kuekes
  • Patent number: 7517795
    Abstract: A method for forming a stabilized metal silicide film, e.g., contact (source/drain or gate), that does not substantially agglomerate during subsequent thermal treatments, is provided In the present invention, ions that are capable of attaching to defects within the Si-containing layer are implanted into the Si-containing layer prior to formation of metal silicide. The implanted ions stabilize the film, because the implants were found to substantially prevent agglomeration or at least delay agglomeration to much higher temperatures than in cases in which no implants were used.
    Type: Grant
    Filed: October 20, 2006
    Date of Patent: April 14, 2009
    Assignee: International Business Machines Corporation
    Inventors: Roy A. Carruthers, Cedrik Y. Cole, Christophe Detavernier, Christian Lavoie, Kenneth P. Rodbell
  • Patent number: 7517796
    Abstract: The present invention provides for a method to pattern and etch very small dimension pillars, for example in a memory array. When dimensions of pillars become very small, the photoresist pillars used to pattern them may not have sufficient mechanical strength to survive the photoresist exposure and development process. Using methods according to the present invention, these photoresist pillars are printed and developed larger than their intended final dimension, such that they have increased mechanical strength, then are shrunk to the desired dimension during a preliminary etch performed before the etch of underlying material begins.
    Type: Grant
    Filed: February 17, 2005
    Date of Patent: April 14, 2009
    Assignee: Sandisk 3D LLC
    Inventors: Usha Raghuram, Michael W. Konevecki
  • Patent number: 7517797
    Abstract: A carrier for use in a chip-scale package includes a semiconductor substrate with a plurality of apertures formed therethrough. The apertures of the carrier are aligned with bond pads of a semiconductor device. Conductive material is introduced into each of the apertures of the carrier to form vias therein that establish electrical communication between the bond pads of the semiconductor device and conductive traces that extend to the vias or contacts or conductive structures that are subsequently formed directly over the vias or at opposite ends of the conductive traces. Such chip-scale packages may be formed on a wafer-scale, in which case individual chip-scale packages are singulated from one another at some point during or following the packaging process.
    Type: Grant
    Filed: July 22, 2002
    Date of Patent: April 14, 2009
    Assignee: Micron Technology, Inc.
    Inventor: Salman Akram
  • Patent number: 7517798
    Abstract: The present invention relates to methods for forming through-wafer interconnects in semiconductor substrates and the resulting structures. In one embodiment, a method for forming a through-wafer interconnect includes providing a substrate having a pad on a surface thereof, depositing a passivation layer over the pad and the surface of the substrate, and forming an aperture through the passivation layer and the pad using a substantially continuous process. An insulative layer is deposited in the aperture followed by a conductive layer and a conductive fill. In another embodiment of the invention, a semiconductor device is formed including a first interconnect structure that extends through a conductive pad and is electrically coupled with the conductive pad while a second interconnect structure is formed through another conductive pad while being electrically isolated therefrom. Semiconductor devices and assemblies produced with the methods are also disclosed.
    Type: Grant
    Filed: September 1, 2005
    Date of Patent: April 14, 2009
    Assignee: Micron Technology, Inc.
    Inventor: Mark E. Tuttle
  • Patent number: 7517799
    Abstract: A method for forming a plurality of metal lines in a semiconductor device including forming first insulating layer patterns on a semiconductor substrate, the first insulating patterns being spaced from each other; depositing a metal layer on and between the first insulating layer patterns; planarizing the metal layer; patterning the planarized metal layer to form the plurality of metal lines between the first insulating layer patterns; and forming a second insulating layer on and between the metal lines.
    Type: Grant
    Filed: December 29, 2005
    Date of Patent: April 14, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: June Woo Lee
  • Patent number: 7517800
    Abstract: A manufacturing method of a semiconductor device including a TiN film, including a deposition step of forming a TiN film by the CVD method, an anneal step of performing a heat treatment to the formed TiN film in an atmosphere of NH3 gas, an NH3 gas purge step of purging NH3 gas, and a step of further repeating the deposition step, the anneal step, and the NH3 gas purge step for at least one time. The deposition step is performed using titanium halide gas and NH3 gas as material gases and with a deposition temperature of 300° C.-450° C. to form the TiN film by a thickness of 1 nm-5 nm for each deposition step. Thus, a semiconductor device in which generation of irregularly grown objects in the TiN film is suppressed and a manufacturing method thereof can be provided.
    Type: Grant
    Filed: January 13, 2005
    Date of Patent: April 14, 2009
    Assignees: Renesas Technology Corp., Tokyo Electron Limited
    Inventors: Tomonori Okudaira, Takeshi Hayashi, Hiroshi Fujiwara, Yasushi Fujita, Kiyoteru Kobayashi
  • Patent number: 7517801
    Abstract: A method in a plasma processing system for etching a feature through a given layer on a semiconductor substrate. The method includes placing the substrate in a plasma processing chamber of the plasma processing system. The method also includes flowing an etchant gas mixture into the plasma processing chamber, the etchant gas mixture being configured to etch the given layer. The method additionally includes striking a plasma from the etchant source gas. Furthermore, the method includes etching the feature at least partially through the given layer while applying a bias RF signal to the substrate, the bias RF signal having a bias RF frequency of between about 45 MHz and about 75 MHz. The bias RF signal further has a bias RF power component that is configured to cause the etch feature to be etched with an etch selectivity to a second layer of the substrate that is higher than a predefined selectivity threshold.
    Type: Grant
    Filed: June 29, 2004
    Date of Patent: April 14, 2009
    Assignee: LAM Research Corporation
    Inventor: Kenji Takeshita
  • Patent number: 7517802
    Abstract: A method of reducing foreign material concentrations in an etch chamber having inner chamber walls is described. The method includes the step of etching a work piece in the etch chamber such that reaction products from the work piece having one or more elements form a first layer of reaction products that partially adhere to the inner chamber walls. A species is introduced into the etch chamber that increases the adhesion of the first layer of reaction products to the inner chamber walls.
    Type: Grant
    Filed: October 17, 2006
    Date of Patent: April 14, 2009
    Assignee: International Business Machines Corporation
    Inventors: Edward Crandal Cooney, III, Anthony Kendall Stamper
  • Patent number: 7517803
    Abstract: Silicon parts of a semiconductor processing apparatus containing low levels of metal impurities that are highly mobile in silicon are provided. The silicon parts include, for example, rings, electrodes and electrode assemblies. The silicon parts can reduce metal contamination of wafers processed in plasma atmospheres.
    Type: Grant
    Filed: September 28, 2004
    Date of Patent: April 14, 2009
    Assignee: Lam Research Corporation
    Inventors: Daxing Ren, Jerome S. Hubacek, Nicholas E. Webb
  • Patent number: 7517804
    Abstract: An interlevel dielectric layer, such as a silicon oxide layer, is selectively etched using a plasma etch chemistry including a silicon species and a halide species and also preferably a carbon species and an oxygen species. The silicon species can be generated from a silicon compound, such as SixMyHz, where “Si” is silicon, “M” is one or more halogens, “H” is hydrogen and x?1, y?0 and z?0. The carbon species can be generated from a carbon compound, such as C?M?H?, where “C” is carbon, “M” is one or more halogens, “H” is hydrogen, and ??1, ??0 and ??0. The oxygen species can be generated from an oxygen compound, such as O2, which can react with carbon to form a volatile compound.
    Type: Grant
    Filed: August 31, 2006
    Date of Patent: April 14, 2009
    Assignee: Micron Technologies, Inc.
    Inventors: Mark Kiehlbauch, Ted Taylor
  • Patent number: 7517806
    Abstract: A method and structure for an integrated circuit structure that utilizes complementary fin-type field effect transistors (FinFETs) is disclosed. The invention has a first-type of FinFET which includes a first fin, and a second-type of FinFET which includes a second fin running parallel to the first fin. The invention also has an insulator fin positioned between the source/drain regions of the first first-type of FinFET and the second-type of FinFET. The insulator fin has approximately the same width dimensions as the first fin and the second fin, such that the spacing between the first-type of FinFET and the second-type of FinFET is approximately equal to the width of one fin. The invention also has a common gate formed over channel regions of the first-type of FinFET and the second-type of FinFET. The gate includes a first impurity doping region adjacent the first-type of FinFET and a second impurity doping region adjacent the second-type of FinFET.
    Type: Grant
    Filed: July 21, 2005
    Date of Patent: April 14, 2009
    Assignee: International Business Machines Corporation
    Inventors: Andres Bryant, William F. Clark, Jr., David M. Fried, Mark D. Jaffe, Edward J. Nowak, John J. Pekarik, Christopher S. Putnam
  • Patent number: 7517807
    Abstract: A method for fabricating a semiconductor structure includes forming a carbon masking layer on a semiconductor layer, forming a protective layer on the carbon masking layer. The method further includes forming an opening in the protective layer and the carbon masking layer and processing the semiconductor layer through the opening to form a first processed region in the semiconductor layer. The method further includes enlarging the opening in the carbon masking layer and performing an additional processing step on the semiconductor layer through the enlarged opening to form a second processed region in the semiconductor layer.
    Type: Grant
    Filed: July 26, 2006
    Date of Patent: April 14, 2009
    Assignee: General Electric Company
    Inventors: Jesse Berkley Tucker, Kevin Sean Matocha, Peter Wilson Waldrab, James Howard Schermerhorn, Matthew Morgan Edmonds
  • Patent number: 7517808
    Abstract: A method for reworking semiconductor materials includes: (i) applying a silicone composition to a surface of a substrate to form a film, (ii) exposing a portion of the film to radiation to produce a partially exposed film having non-exposed regions covering a portion of the surface and exposed regions covering the remainder of the surface; (iii) heating the partially exposed film for an amount of time such that the exposed regions are substantially insoluble in a developing solvent and the non-exposed regions are soluble in the developing solvent; (iv) removing the non-exposed regions of the heated film with the developing solvent to form a patterned film; (v) heating the patterned film for an amount of time sufficient to form a cured silicone layer; and (vi) removing all or a portion of the cured silicone layer by exposure to an anhydrous etching solution including an organic solvent and abase.
    Type: Grant
    Filed: July 28, 2003
    Date of Patent: April 14, 2009
    Assignee: Dow Corning Corporation
    Inventors: Gregory Becker, Geoffrey Gardner, Brian Harkness
  • Patent number: 7517809
    Abstract: A method and composition for removing silicon-containing sacrificial layers from Micro Electro Mechanical System (MEMS) and other semiconductor substrates having such sacrificial layers is described. The etching compositions include a supercritical fluid (SCF), an etchant species, a co-solvent, and optionally a surfactant. Such etching compositions overcome the intrinsic deficiency of SCFs as cleaning reagents, viz., the non-polar character of SCFs and their associated inability to solubilize polar species that must be removed from the semiconductor substrate. The resultant etched substrates experience lower incidents of stiction relative to substrates etched using conventional wet etching techniques.
    Type: Grant
    Filed: January 8, 2007
    Date of Patent: April 14, 2009
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Michael B. Korzenski, Thomas H. Baum, Chongying Xu, Eliodor G. Ghenciu
  • Patent number: 7517810
    Abstract: A process for etching a thick aluminum contact layer of a semiconductor wafer comprises the formation of a wet etch photoresist mask and the opening of a window in the mask, followed by a wet etch of a first portion of the thickness of the contact layer exposed by the window and the inherent under cutting of the contact layer under the mask window. A dry etch is next carried out, using the same window as a mask, to cut the remaining web of the contact layer under the window. An etch stop layer of Ti or TiN can be formed within the body of the contact layer to define the depth of the initial wet etch into the contact layer.
    Type: Grant
    Filed: May 26, 2006
    Date of Patent: April 14, 2009
    Assignee: International Rectifier Corporation
    Inventors: David Paul Jones, Hugo R. G. Burke
  • Patent number: 7517811
    Abstract: A method for fabricating a floating gate of the flash memories is described. A pad oxide layer and a silicon nitride layer are formed sequentially on a substrate. A plurality of shallow trenches is formed in the substrate and an active area is defined by the shallow trenches. The silicon nitride layer is pulled back by isotropic etching to expose the corner of the trench. A corner-rounding process is performed to round the corner. An STI structure is formed in the shallow trench. Thereafter, the pad oxide layer and the silicon nitride layer are removed. A tunneling oxide layer and a first polysilicon layer are formed sequentially on the active area and the first polysilicon layer is as high as the STI structure. A second polysilicon layer is formed on the first polysilicon layer and the STI structures. A portion of the second polysilicon layer on the STI structure is removed to form the floating gate.
    Type: Grant
    Filed: April 8, 2003
    Date of Patent: April 14, 2009
    Assignee: Winbond Electronics Corporation
    Inventor: Wen-Kuei Hsieh
  • Patent number: 7517812
    Abstract: A method and system for forming a nitrided germanium-containing layer by plasma processing. The method includes providing a germanium-containing substrate in a process chamber, generating a plasma from a process gas containing N2 and a noble gas, where the plasma conditions are selected effective to form plasma excited N2 species while controlling formation of plasma excited N species, and exposing the substrate to the plasma to form a nitrided germanium-containing layer on the substrate. A method is also provided that includes exposing a germanium-containing dielectric layer to liquid or gaseous H2O to alter the thickness and chemical composition of the layer.
    Type: Grant
    Filed: October 31, 2005
    Date of Patent: April 14, 2009
    Assignees: Tokyo Electron Limited, The Board of Trustees of the Leland Stanford Junior University
    Inventors: Takuya Sugawara, Paul C. McIntyre
  • Patent number: 7517813
    Abstract: An efficient method for the thermal oxidation of preferably silicon semiconductor wafers using LOCOS (local oxidation of silicon) processes is described. The mechanical stresses of the wafers are to be reduced. To this end, an oxidation method is proposed that comprises providing a substrate (1) having a front side (12) to be patterned and a rear side (13). The substrate is oxidized in two steps. In a first step the rear side (13) is covered by a layer (4) that inhibits or hampers the oxidation. During a second step of the oxidation the oxidation-hampering layer (4) is no longer present. During both steps an oxide thickness is obtained on the front side (12) that is greater than an oxide thickness obtained on the rear side (13).
    Type: Grant
    Filed: October 6, 2005
    Date of Patent: April 14, 2009
    Assignee: X-FAB Semiconductor Foundries AG
    Inventors: Ralf Lerner, Uwe Eckoldt
  • Patent number: 7517814
    Abstract: A method for preparing an oxynitride film on a substrate comprising forming the oxynitride film by exposing a surface of the substrate to oxygen radicals and nitrogen radicals formed by plasma induced dissociation of a process gas comprising nitrogen and oxygen using plasma based on microwave irradiation via a plane antenna member having a plurality of slits.
    Type: Grant
    Filed: March 30, 2005
    Date of Patent: April 14, 2009
    Assignees: Tokyo Electron, Ltd., International Business Machines Corporation
    Inventors: Cory S. Wajda, Kristen Scheer, Toshihara Furakawa
  • Patent number: 7517815
    Abstract: A spin-on glass composition includes a solvent, about 3 to about 20 percent by weight of a porogen, and about 3 to about 20 percent by weight of a silsesquioxane oligomer represented by formula (1), where, in the formula (1), Y1 and Y2 independently represent a hydrolyzable alkoxy group, R represents a lower alkyl group, and n and m independently represent an integer in a range of one to nine both inclusive.
    Type: Grant
    Filed: June 5, 2006
    Date of Patent: April 14, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jun-Hyun Cho, Jung-Sik Choi, Jung-Ho Lee, Mi-Ae Kim
  • Patent number: 7517816
    Abstract: By providing a contact etch stop layer, the stress in channel regions of different transistor types may be effectively controlled, wherein tensile and compressive stress portions of the contact etch stop layer may be obtained by well-established processes, such as wet chemical etch, plasma etch, ion implantation, plasma treatment and the like. Hence, a significant improvement in transistor performance may be obtained while not significantly contributing to process complexity.
    Type: Grant
    Filed: February 15, 2005
    Date of Patent: April 14, 2009
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Kai Frohberg, Matthias Schaller, Massud Aminpur
  • Patent number: 7517817
    Abstract: A method is provided for forming silicon oxide layers during the processing of semiconductor devices by applying a SOG layer including polysilazane to a substrate and then substantially converting the SOG layer to a silicon oxide layer using an oxidant solution. The oxidant solution may include one or more oxidants including, for example, ozone, peroxides, permanganates, hypochlorites, chlorites, chlorates, perchlorates, hypobromites, bromites, bromates, hypoiodites, iodites, iodates and strong acids.
    Type: Grant
    Filed: January 23, 2007
    Date of Patent: April 14, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ju-Seon Goo, Eun-Kee Hong, Hong-Gun Kim, Kyu-Tae Na
  • Patent number: 7517818
    Abstract: A method and system for forming a nitrided germanium-containing layer by plasma processing. The method includes providing a germanium-containing substrate in a process chamber, generating a plasma from a process gas containing N2 and a noble gas, where the plasma conditions are selected effective to form plasma excited N2 species while controlling formation of plasma excited N species, and exposing the substrate to the plasma to form a nitrided germanium-containing layer on the substrate. A method is also provided that includes exposing a germanium-containing dielectric layer to liquid or gaseous H2O to alter the thickness and chemical composition of the layer.
    Type: Grant
    Filed: October 31, 2005
    Date of Patent: April 14, 2009
    Assignees: Tokyo Electron Limited, The Board of Trustees of the Leland Stanford Junior University
    Inventors: Takuya Sugawara, Paul C. McIntyre
  • Patent number: 7517819
    Abstract: A method of making fabrics having first and second surfaces that exhibit different performance characteristics by virtue of having been treated with different chemical treatments is described. In addition, fabrics having first and second surfaces that exhibit different performance characteristics, such as one surface exhibiting oil and water repellency and optionally, soil release characteristics, and the opposite surface exhibits moisture transport characteristics.
    Type: Grant
    Filed: February 18, 2004
    Date of Patent: April 14, 2009
    Assignee: Milliken & Company
    Inventors: David S. Klutz, William C. Kimbrell, Dale R. Williams
  • Patent number: 7517820
    Abstract: The invention provides use of a polymer composition comprising polyethylenimine and one or both of polyvinyl alcohol and polyvinyl alcohol co-ethylene for protection against harmful and/or noxious agents. The invention further provides a laminate suitable for providing protection against harmful and/or noxious agents, the laminate comprising a layer of a polymer composition comprising polyethylenimine and one or both of polyvinyl alcohol and polyvinyl alcohol co-ethylene is also disclosed.
    Type: Grant
    Filed: January 17, 2003
    Date of Patent: April 14, 2009
    Assignee: The Secretary of State for Defence in Her Britannic Majesty's Government of the United Kingdom of Great Britain and Northern Ireland
    Inventors: Stuart Anson Brewer, Corinne Amy Stone, Colin Robert Willis, Brian Alan Beadle