Patents Issued in March 14, 2013
-
Publication number: 20130065362Abstract: A flip chip package manufacturing method is provided. A non-conductive film is pressed onto a wafer with multiple conductive bumps. The wafer is cut to multiple single chips. A carrier is provided, and a thermo-compression flip chip bonding process is executed to bond the non-conductive film onto the carrier. The carrier is transferred into a chamber with enclosed, pneumatic pressurized and heatingable characteristics to execute a de-void process to eliminate the bubbles and to execute a high-temperature soldering process to solder the single chip onto the carrier. The sequence of the de-void process and the high-temperature soldering process may exchange.Type: ApplicationFiled: June 27, 2012Publication date: March 14, 2013Applicant: ABLEPRINT TECHNOLOGY CO., LTD.Inventor: HORNG CHIH HORNG
-
Publication number: 20130065363Abstract: A method for manufacturing a chip packaging structure is disclosed. The manufacturing method includes steps of: providing a protection layer; forming a conductive trace layer on the protection layer; forming an adhesion layer on the conductive trace layer; placing a chip on the adhesion layer; and electrically connecting the chip to the conductive trace layer. Via these arrangements, the chip packaging structure made by the manufacturing method can have a smaller thickness.Type: ApplicationFiled: December 15, 2011Publication date: March 14, 2013Applicant: DAWNING LEADING TECHNOLOGY INC.Inventor: Diann-Fang Lin
-
Publication number: 20130065364Abstract: To provide a technology capable of preventing the deterioration of the reliability of semiconductor devices caused by the gasification of a part of components of the material constituting a wiring substrate. A wiring layer constituting a circuit pattern is formed over each of the front and rear surfaces of a glass epoxy substrate, and after the formation of a solder resist covering the wiring layer while exposing a part of the wiring layer and prior to a heat treatment (first heat treatment) at 100° C. to 150° C. for dehumidification, a heat treatment (second heat treatment) at 160° C. to 230° C. for gasifying and discharging an organic solvent contained in the material constituting a wiring substrate is performed for the wiring substrate.Type: ApplicationFiled: October 26, 2012Publication date: March 14, 2013Applicant: RENESAS ELECTRIC CORPORATIONInventor: RENESAS ELECTRIC CORPORATION
-
Publication number: 20130065365Abstract: The invention belongs to the technical field of high-voltage, large-power devices and in particular relates to a method for manufacturing a semiconductor substrate of a large-power device. According to the method, the ion implantation is carried out on the front face of a floating zone silicon wafer first, then a high-temperature resistant metal is used as a medium to bond the back-off floating zone silicon wafer, and a heavily CZ-doped silicon wafer forms the semiconductor substrate. After bonding, the floating zone silicon wafer is used to prepare an insulated gate bipolar transistor (IGBT), and the heavily CZ-doped silicon wafer is used as the low-resistance back contact, so the required amount of the floating zone silicon wafers used is reduced, and production cost is lowered. Meanwhile, the back metallization process is not required after bonding, so the processing procedures are simplified, and the production yield is enhanced.Type: ApplicationFiled: November 18, 2011Publication date: March 14, 2013Applicant: FUDAN UNIVERSITYInventors: Pengfei Wang, Xi Lin, Wei Zhang
-
Publication number: 20130065366Abstract: An integrated circuit on a semiconductor substrate has logic gates comprising FDSOI-type transistors made on said substrate, including at least one first transistor comprising a gate with a first work function, and including a transistor comprising a second work function, a memory including memory cells, each memory cell comprising FDSOI type transistors, including at least one third nMOS transistor with a gate presenting a third work function, the third transistor comprising a buried insulating layer and a ground plane at least one fourth pMOS transistor with a gate presenting said third work function, the fourth transistor comprising a buried insulating layer and a ground plane, the ground planes of the third and fourth transistors being made in a same well separating these ground planes from said substrate.Type: ApplicationFiled: September 7, 2012Publication date: March 14, 2013Applicants: STMicroelectronics, Commissariat a I'energie atomique et aux energies alternativesInventors: Olivier Thomas, Jerome Mazurier, Nicolas Planes, Olivier Weber
-
Publication number: 20130065367Abstract: In one example, a method disclosed herein includes the steps of forming gate electrode structures for a PMOS transistor and for an NMOS transistor, forming a first spacer proximate the gate electrode structures, after forming the first spacer, forming extension implant regions in the substrate for the transistors and after forming the extension implant regions, forming a second spacer proximate the first spacer for the PMOS transistor. This method also includes performing an etching process with the second spacer in place to define a plurality of cavities in the substrate proximate the gate structure for the PMOS transistor, removing the first and second spacers, forming a third spacer proximate the gate electrode structures of both of the transistors, and forming deep source/drain implant regions in the substrate for the transistors.Type: ApplicationFiled: September 13, 2011Publication date: March 14, 2013Applicant: GLOBALFOUNDRIES INC.Inventors: Stefan Flachowsky, Thilo Scheiper, Ricardo P. Mikalo
-
Publication number: 20130065368Abstract: A method of manufacturing a non-volatile semiconductor memory device is provided which overcomes a problem of penetration of implanted ions due to the difference of an optimal gate height in simultaneous formation of a self-align split gate type memory cell utilizing a side wall structure and a scaled MOS transistor. A select gate electrode to form a side wall in a memory area is formed to be higher than that of the gate electrode in a logic area so that the height of the side wall gate electrode of the self-align split gate memory cell is greater than that of the gate electrode in the logic area. Height reduction for the gate electrode is performed in the logic area before gate electrode formation.Type: ApplicationFiled: November 7, 2012Publication date: March 14, 2013Applicant: RENESAS ELECTRONICS CORPORATIONInventor: RENESAS ELECTRONICS CORPORATION
-
Publication number: 20130065369Abstract: Methods of forming vertical nonvolatile memory devices may include forming an electrically insulating layer, which includes a composite of a sacrificial layer sandwiched between first and second mold layers. An opening extends through the electrically insulating layer and exposes inner sidewalls of the first and second mold layers and the sacrificial layer. A sidewall of the opening may be lined with an electrically insulating protective layer and a first semiconductor layer may be formed on an inner sidewall of the electrically insulating protective layer within the opening. At least a portion of the sacrificial layer may then be selectively etched from between the first and second mold layers to thereby define a lateral recess therein, which exposes an outer sidewall of the electrically insulating protective layer.Type: ApplicationFiled: November 8, 2012Publication date: March 14, 2013Inventors: Sang-Ryol Yang, Yoo-Chul Kong, Jung-Ho Kim, Jin-Gyun Kim, Jae-Jin Shin, Ji-Hoon Choi
-
Publication number: 20130065370Abstract: A method for forming feature on a substrate includes forming at least one layer of a feature material on a substrate, patterning a photolithographic resist material on the at least one layer of the feature material, removing portions of the feature material to define a feature, depositing a masking material layer over the resist material and exposed regions of the substrate, modifying a portion of the substrate, and removing the masking material layer and the resist material.Type: ApplicationFiled: September 9, 2011Publication date: March 14, 2013Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATIONInventors: Brent A. Anderson, Edward J. Nowak, Jed H. Rankin
-
Publication number: 20130065371Abstract: Methods are provided for fabricating integrated circuits. One method includes etching a plurality of trenches into a silicon substrate and filling the trenches with an insulating material to delineate a plurality of spaced apart silicon fins. A layer of undoped silicon is epitaxially grown to form an upper, undoped region of the fins. Dummy gate structures are formed overlying and transverse to the plurality of fins and a back fill material fills between the dummy gate structures. The dummy gate structures are removed to expose a portion of the fins and a high-k dielectric material and a work function determining gate electrode material are deposited overlying the portion of the fins. The back fill material is removed to expose a second portion and metal silicide contacts are formed on the second portion. Conductive contacts are then formed to the work function determining material and to the metal silicide.Type: ApplicationFiled: September 13, 2011Publication date: March 14, 2013Applicant: GLOBALFOUNDRIES INC.Inventors: Andy C. Wei, Peter Baars, Erik P. Geiss
-
Publication number: 20130065372Abstract: A method for decreasing polysilicon gate resistance in a carbon co-implantation process which includes: depositing a first salicide block layer on a formed gate of a MOS device and etching it to form a first spacer of a side surface of the gate of the MOS device; performing a P-type heavily doped boron implantation process and a thermal annealing treatment, so as to decrease the resistance of the polysilicon gate; removing said first spacer, performing a lightly doped drain process, and performing a carbon co-implantation process at the same time, so as to form ultra-shallow junctions at the interfaces between a substrate and source region and drain region below the gate; re-depositing a second salicide block layer on the gate and etching the mask to form a second spacer; forming a self-aligned silicide on the surface of the MOS device. The invention can decrease the resistance of the P-type polysilicon gate.Type: ApplicationFiled: December 29, 2011Publication date: March 14, 2013Applicant: SHANGHAI HUALI MICROELECTRONICS CORPORATIONInventor: Liujiang YU
-
Publication number: 20130065373Abstract: In one example, a method disclosed herein includes reducing a temperature of at least an implant surface of a semiconducting substrate to a temperature less than ?50° C. and after reducing the temperature of the implant surface, performing at least one ion implantation process to implant ions into the substrate with the implant surface at a temperature less than ?50° C.Type: ApplicationFiled: September 13, 2011Publication date: March 14, 2013Applicant: GLOBALFOUNDRIES INC.Inventors: Stefan Flachowsky, Christian Krueger, Jan Hoentschel
-
Publication number: 20130065374Abstract: A method of fabricating an integrated circuit including bipolar transistors that reduces the effects of transistor performance degradation and transistor mismatch caused by charging during plasma etch, and the integrated circuit so formed. A fluorine implant is performed at those locations at which isolation dielectric structures between base and emitter are to be formed, prior to formation of the isolation dielectric. The isolation dielectric structures may be formed by either shallow trench isolation, in which the fluorine implant is performed after trench etch, or LOCOS oxidation, in which the fluorine implant is performed prior to thermal oxidation. The fluorine implant may be normal to the device surface or at an angle from the normal. Completion of the integrated circuit is then carried out, including the use of relatively thick copper metallization requiring plasma etch.Type: ApplicationFiled: April 19, 2012Publication date: March 14, 2013Applicant: TEXAS INSTRUMENTS INCORPORATEDInventors: Weidong Tian, Ming-Yeh Chuang, Rajni J. Aggarwal
-
Publication number: 20130065375Abstract: Disclosed herein are various methods of forming semiconductor devices that have capacitor and via contacts. In one example, the method includes forming a first conductive structure and a bottom electrode of a capacitor in a layer of insulating material, forming a layer of conductive material above the first conductive structure and the bottom electrode and performing an etching process on the layer of conductive material to define a conductive material hard mask and a top electrode for the capacitor, wherein the conductive material hard mask is positioned above at least a portion of the first conductive structure. This illustrative method includes the further steps of forming an opening in the conductive material hard mask and forming a second conductive structure that extends through the opening in the conductive material hard mask and conductively contacts the first conductive structure.Type: ApplicationFiled: September 14, 2011Publication date: March 14, 2013Applicant: GLOBALFOUNDRIES INC.Inventors: Ki Young Lee, Sanggil Bae, Tony Joung
-
Publication number: 20130065376Abstract: A semiconductor capacitor and its method of fabrication are disclosed. A non-linear nitride layer is used to increase the surface area of a capacitor plate, resulting in increased capacitance without increase in chip area used for the capacitor.Type: ApplicationFiled: September 14, 2012Publication date: March 14, 2013Applicant: International Business Machines CorporationInventors: David Vaclav Horak, Shom Ponoth, Hosadurga Shobha, Chih-Chao Yang
-
Publication number: 20130065377Abstract: A resistive switching nonvolatile memory device having an interface layer disposed between a doped silicon electrode and a variable resistance layer fabricated in the nonvolatile memory device and methods of fabricating the same. In one embodiment, the interface layer is a high-k layer having a lower electrical EOT than native silicon oxide to act as a diffusion barrier between the variable resistance layer and the silicon electrode. Alternatively, the high-k interface layer may be formed by performing a nitrogen treatment on a fabricated silicon oxide layer. In another embodiment, the interface layer may be fabricated by performing a nitrogen or ozone treatment on the native oxide layer. In another embodiment, the interface layer is a fabricated silicon oxide layer resulting in an improved diffusion barrier between the variable resistance layer and the silicon electrode. In all embodiments, the interface layer also passivates the surface of the silicon electrode.Type: ApplicationFiled: September 9, 2011Publication date: March 14, 2013Applicant: INTERMOLECULAR, INC.Inventors: Vidyut Gopal, Yun Wang, Imran Hashim
-
Publication number: 20130065378Abstract: The present invention provides a method for plasma dicing a substrate. The method comprising providing a process chamber having a wall; providing a plasma source adjacent to the wall of the process chamber; providing a work piece support within the process chamber; placing the substrate onto a support film on a frame to form a work piece work piece; loading the work piece onto the work piece support; providing a cover ring disposed above the work piece; generating a plasma through the plasma source; and etching the work piece through the generated plasma.Type: ApplicationFiled: March 5, 2012Publication date: March 14, 2013Inventors: Chris Johnson, David Johnson, Russell Westerman
-
Publication number: 20130065379Abstract: A method of manufacturing a semiconductor device includes forming a porous area of a semiconductor body. The semiconductor body includes a porous structure in the porous area. A semiconductor layer is formed on the porous area. Semiconductor regions are formed in the semiconductor layer. Then, the semiconductor layer is separated from the semiconductor body along the porous area, including introducing hydrogen into the porous area by a thermal treatment.Type: ApplicationFiled: September 12, 2011Publication date: March 14, 2013Applicant: INFINEON TECHNOLOGIES AUSTRIA AGInventors: Hans-Joachim Schulze, Francisco Javier Santos Rodriguez, Anton Mauder, Johannes Baumgartl, Carsten Ahrens
-
Publication number: 20130065380Abstract: A structure, such as an integrated circuit device, is described that includes a line of material with critical dimensions which vary within a distribution substantially less than that of a mask element, such as a patterned resist element, used in etching the line. Techniques are described for processing a line of crystalline phase material which has already been etched using the mask element, in a manner which straightens an etched sidewall surface of the line. The straightened sidewall surface does not carry the sidewall surface variations introduced by photolithographic processes, or other patterning processes, involved in forming the mask element and etching the line.Type: ApplicationFiled: January 13, 2012Publication date: March 14, 2013Applicant: SYNOPSYS, INC.Inventors: Victor Moroz, Lars Bomholt
-
Publication number: 20130065381Abstract: In a vertical-type memory device and a method of manufacturing the vertical-type memory device, the vertical memory device includes an insulation layer pattern of a linear shape provided on a substrate, pillar-shaped single-crystalline semiconductor patterns provided on both sidewalls of the insulation layer pattern and transistors provided on a sidewall of each of the single-crystalline semiconductor patterns. The transistors are arranged in a vertical direction of the single-crystalline semiconductor pattern, and thus the memory device may be highly integrated.Type: ApplicationFiled: November 9, 2012Publication date: March 14, 2013Applicant: SAMSUNG ELECTRONICS CO., LTD.Inventor: Samsung Electronics Co., Ltd.
-
Publication number: 20130065382Abstract: A method of manufacturing a silicon carbide semiconductor device of an embodiment includes: implanting ions in a silicon carbide substrate; performing first heating processing of the silicon carbide substrate in which the ions are implanted; and performing second heating processing of the silicon carbide substrate for which the first heating processing is performed, at a temperature lower than the first heating processing.Type: ApplicationFiled: February 28, 2012Publication date: March 14, 2013Applicant: Kabushiki Kaisha ToshibaInventors: Johji Nishio, Masaru Furukawa, Hiroshi Kono, Takashi Shinohe
-
Fabrication methods for T-gate and inverted L-gate structure for high frequency devices and circuits
Publication number: 20130065383Abstract: In high frequency circuits, the switching speed of devices is often limited by the series resistance and capacitance across the input terminals. To reduce the resistance and capacitance, the cross-section of input electrodes is made into a T-shape or inverted L-shape through lithography. The prior art method for the formation of cavities for T-gate or inverted L-gate is achieved through several steps using multiple photomasks. Often, two or even three different photoresists with different sensitivity are required. In one embodiment of the present invention, an optical lithography method for the formation of T-gate or inverted L-gate structures using only one photomask is disclosed. In another embodiment, the structure for the T-gate or inverted L-gate is formed using the same type of photoresist material.Type: ApplicationFiled: September 12, 2011Publication date: March 14, 2013Inventors: Cindy X. Qiu, Ishiang Shih, Chunong Qiu, Yi-Chi Shih, Julia Qiu -
Publication number: 20130065384Abstract: A mask layer is formed on a silicon carbide layer by a deposition method. The mask layer is patterned. A gate trench having a side wall is formed by removing a portion of the silicon carbide layer by etching using the patterned mask layer as a mask. A gate insulating film is formed on the side wall of the gate trench. A gate electrode is formed on the gate insulating film. The silicon carbide layer has one of hexagonal and cubic crystal types, and the side wall of the gate trench substantially includes one of a{0-33-8} plane and a {01-1-4} plane in a case where the silicon carbide layer is of hexagonal crystal type, and substantially includes a {100} plane in a case where the silicon carbide layer is of cubic crystal type.Type: ApplicationFiled: September 13, 2012Publication date: March 14, 2013Applicant: Sumitomo Electric Industries, Ltd.Inventors: Toru Hiyoshi, Takeyoshi Masuda, Keiji Wada
-
Publication number: 20130065385Abstract: The present invention provides a method for preparing spacer to reduce coupling interference in MOSFET, which includes the steps of: forming a gate oxide layer on the semiconductor substrate; forming a gate on the gate oxide layer; and depositing a low-K dielectric material on the gate and the semiconductor substrate, and doping with carbon during deposition to form a carbon-containing low-K dielectric layer and then forming the spacer by an etching process.Type: ApplicationFiled: December 29, 2011Publication date: March 14, 2013Applicant: SHANGHAI HUALI MICROELECTRONICS CORPORATIONInventors: Xiaolu HUANG, Chaos ZHANG, Yuwen CHEN
-
Publication number: 20130065386Abstract: A first insulating interlayer is formed on a substrate including first and second regions. The first insulating interlayer has top surface, a height of which is greater in the first region than in the second region. A first planarization stop layer and a second insulating interlayer are formed. The second insulating interlayer is planarized until the first planarization stop layer is exposed. The first planarization stop layer and the first and second insulating interlayers in the second region are removed to expose the substrate. A lower mold structure including first insulation layer patterns, first sacrificial layer patterns and a second planarization stop layer pattern is formed. The first insulation layer patterns and the first sacrificial layer patterns are alternately and repeatedly formed on the substrate, and a second planarization stop layer pattern is formed on the first insulation layer pattern.Type: ApplicationFiled: August 28, 2012Publication date: March 14, 2013Inventors: Hyo-Jung Kim, Dae-Hong Eom, Jong-Heun Lim, Myung-Jung Pyo, Byoung-Moon Yoon, Kyung-Hyun Kim
-
Publication number: 20130065387Abstract: A method of fabricating ESD suppression device includes forming conductive pillars dispersed in a dielectric material. The gaps formed between each pillar in the device behave like spark gaps when a high voltage ESD pulse occurs. When the voltage of the pulse reaches the “trigger voltage” these gaps spark over, creating a very low resistance path. In normal operation, the leakage current and the capacitance is very low, due to the physical gaps between the conductive pillars. The proposed method for fabricating an ESD suppression device includes micromachining techniques to be on-chip with device ICs.Type: ApplicationFiled: March 1, 2012Publication date: March 14, 2013Applicant: MCube Inc.Inventor: Xiao (Charles) Yang
-
Publication number: 20130065388Abstract: A substrate structure with compliant bump comprises a substrate, a plurality of bumps, and a metallic layer, wherein the substrate comprises a surface, a trace layer, and a protective layer. The trace layer comprises a plurality of conductive pads, and each of the conductive pads comprises an upper surface. The protective layer comprises a plurality of openings. The bumps are formed on the surface, and each of the bumps comprises a top surface, an inner surface and an outer surface and defines a first body and a second body. The first body is located on the surface. The second body is located on top of the first body. The metallic layer is formed on the top surface, the inner surface, and the upper surface.Type: ApplicationFiled: October 4, 2012Publication date: March 14, 2013Applicant: CHIPBOND TECHNOLOGY CORPORATIONInventor: CHIPBOND TECHNOLOGY CORPORATION
-
Publication number: 20130065389Abstract: A method of manufacturing a semiconductor device includes forming a first interconnection and a second interconnection above a semiconductor substrate, forming a first sidewall insulating film on a side wall of the first interconnection, and a second sidewall insulating film on a side wall of the second interconnection, forming a conductive film above the semiconductor substrate with the first interconnection, the first sidewall insulating film, the second interconnection and the second sidewall insulating film formed on, and selectively removing the conductive film above the first interconnection and the second interconnection to form in a region between the first interconnection and the second interconnection a third interconnection formed of the conductive film and spaced from the first interconnection and the second interconnection by the first sidewall insulating film and the second sidewall insulating film.Type: ApplicationFiled: July 31, 2012Publication date: March 14, 2013Applicant: FUJITSU SEMICONDUCTOR LIMITEDInventor: Takeshi Kagawa
-
Publication number: 20130065390Abstract: A method of fabricating a microelectronic unit can include providing a semiconductor element having front and rear surfaces, a plurality of conductive pads each having a top surface exposed at the front surface and a bottom surface remote from the top surface, and a first opening extending from the rear surface towards the front surface. The method can also include forming at least one second opening extending from the first opening towards the bottom surface of a respective one of the pads. The method can also include forming a conductive via, a conductive interconnect, and a contact, the conductive via in registration with and in contact with the conductive pad and extending within the second opening, the contact exposed at an exterior of the microelectronic unit, the conductive interconnect electrically connecting the conductive via with the contact and extending away from the via at least partly within the first opening.Type: ApplicationFiled: November 8, 2012Publication date: March 14, 2013Inventor: DigitalOptics Corporation Europe Limited
-
Publication number: 20130065391Abstract: A method of manufacturing a semiconductor device, includes: alternately performing (i) a first step of alternately supplying a first raw material containing a first metal element and a halogen element and a second raw material containing a second metal element and carbon to a substrate by a first predetermined number of times, and (ii) a second step of supplying a nitridation raw material to the substrate, by a second predetermined number of times, wherein alternating the first and second steps forms a metal carbonitride film containing the first metal element having a predetermined thickness on the substrate.Type: ApplicationFiled: September 14, 2012Publication date: March 14, 2013Applicant: HITACHI KOKUSAI ELECTRIC INC.Inventors: Arito Ogawa, Tsuyoshi Takeda
-
Publication number: 20130065392Abstract: A method for manufacturing a silicide layer in a hole formed across the entire thickness of a layer of a material deposited on a silicon layer, including: a first step of bombarding of the hole with particles to sputter the silicon at the bottom of the hole and deposit sputtered silicon on lateral walls of the hole; a second step of deposition in the hole of a layer of silicide precursor; a second step of bombarding of the hole with particles to sputter the silicon precursor at the bottom of the hole and deposit sputtered precursor on the internal walls of the hole; a second step of deposition in the hole of a layer of silicide precursor; and an anneal step to form a silicide layer in the hole.Type: ApplicationFiled: September 7, 2012Publication date: March 14, 2013Applicant: STMICROELECTRONICS (CROLLES 2) SASInventor: Magali Gregoire
-
Publication number: 20130065393Abstract: A method for forming a through silicon via (TSV) in a substrate may include forming a dielectric layer on the substrate; forming an opening through the dielectric layer and into the substrate using a single mask over the dielectric layer; expanding the opening in the dielectric layer, undercutting the single mask, to form an expanded upper portion; removing the single mask; and filling the opening, including the expanded upper portion, with a conductor. A resulting structure may include a substrate; a dielectric layer over the substrate; and a self-aligned through silicon via (TSV) extending through the dielectric layer and the substrate.Type: ApplicationFiled: September 12, 2011Publication date: March 14, 2013Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATIONInventors: Jeffrey P. Gambino, Robert K. Leidy, Anthony K. Stamper
-
Publication number: 20130065394Abstract: A method includes forming an etch stop layer over and contacting a gate electrode of a transistor, forming a sacrificial layer over the etch stop layer, and etching the sacrificial layer, the etch stop layer, and an inter-layer dielectric layer to form an opening. The opening is then filled with a metallic material. The sacrificial layer and excess portions of the metallic material over a top surface of the etch stop layer are removed using a removal step including a CMP process. The remaining portion of the metallic material forms a contact plug.Type: ApplicationFiled: September 8, 2011Publication date: March 14, 2013Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Shich-Chang Suen, Liang-Guang Chen, He Hui Peng, Wne-Pin Peng, Shwang-Ming Jeng
-
Publication number: 20130065395Abstract: Methods and compositions for depositing metal films are disclosed herein. In general, the disclosed methods utilize precursor compounds comprising gold, silver, or copper. More specifically, the disclosed precursor compounds utilize pentadienyl ligands coupled to a metal to increase thermal stability. Furthermore, methods of depositing copper, gold, or silver are disclosed in conjunction with use of other precursors to deposit metal films. The methods and compositions may be used in a variety of deposition processes.Type: ApplicationFiled: November 8, 2012Publication date: March 14, 2013Applicant: L'Air Liquide, Societe Anonyme pour I'Etude et I"exploitation des Procedes Georges ClaudeInventor: L'Air Liquide, Societe Anonyme pour I'Etude et I'E
-
Publication number: 20130065396Abstract: A plasma processing apparatus includes a gas distribution member which supplies a process gas and radio frequency (RF) power to a showerhead electrode. The gas distribution member can include multiple gas passages which supply the same process gas or different process gases at the same or different flow rates to one or more plenums at the backside of the showerhead electrode. The gas distribution member provides a desired process gas distribution to be achieved across a semiconductor substrate processed in a gap between the showerhead electrode and a bottom electrode on which the substrate is supported.Type: ApplicationFiled: November 7, 2012Publication date: March 14, 2013Applicant: LAM RESEARCH CORPORATIONInventor: LAM RESEARCH CORPORATION
-
Publication number: 20130065397Abstract: A novel process technique and mask design based on the optimized self-aligned triple patterning are invented for the semiconductor manufacturing. This invention pertains to methods of forming one and/or two dimensional features on a substrate having the feature density increased to three times of what is possible using optical lithography, and methods to release the overlay requirement when patterning the critical layers of semiconductor devices.Type: ApplicationFiled: September 12, 2011Publication date: March 14, 2013Applicant: Vigma NanoelectronicsInventor: Yijian Chen
-
Publication number: 20130065398Abstract: A method of etching an aluminum-containing layer on a substrate is described. The method includes forming plasma from a process composition containing a halogen element, and exposing the substrate to the plasma to etch the aluminum-containing layer. The method may additionally include exposing the substrate to an oxygen-containing environment to oxidize a surface of the aluminum-containing layer and control an etch rate of the aluminum-containing layer. The method may further include forming first plasma from a process composition containing HBr and an additive gas having the chemical formula CxHyRz (wherein R is a halogen element, x and y are equal to unity or greater, and z is equal to zero or greater), forming second plasma from a process composition containing HBr, and exposing the substrate to the first plasma and the second plasma to etch the aluminum-containing layer.Type: ApplicationFiled: September 12, 2011Publication date: March 14, 2013Applicant: TOKYO ELECTRON LIMITEDInventors: Yusuke OHSAWA, Hiroto OHTAKE, Eiji SUZUKI, Kaushik Arun KUMAR, Andrew W. METZ
-
Publication number: 20130065399Abstract: A plasma processing method includes holding a wafer on a holding stage, generating plasma inside the processing chamber by a plasma generator to define a first processing region having an electron temperature higher than a predetermined value and a second processing region having an electron temperature lower than the predetermined value, moving the holding stage for the wafer to be positioned in the first processing region, performing the plasma processing of the wafer positioned in the first processing region, moving the holding stage for the wafer to be positioned in the second processing region, and stopping to generate plasma when the wafer is positioned in the second processing region after completion of the plasma processing.Type: ApplicationFiled: November 5, 2012Publication date: March 14, 2013Applicant: TOKYO ELECTRON LIMITEDInventor: Tokyo Electron Limited
-
Publication number: 20130065400Abstract: According to one embodiment, an etching method includes: supplying an etching-resistant material; and etching the silicon nitride film. The supplying includes supplying the etching-resistant material to a processing surface including a surface of a silicon nitride film and a surface of a non-etching film, the non-etching film including a material different from the silicon nitride film. The etching includes etching the silicon nitride film using an etchant in a state of the etching-resistant material being formed relatively more densely on the surface of the non-etching film than on the surface of the silicon nitride film.Type: ApplicationFiled: March 20, 2012Publication date: March 14, 2013Inventors: Yasuhito Yoshimizu, Hisashi Okuchi, Hiroshi Tomita
-
Publication number: 20130065401Abstract: Methods for depositing metal-polymer composite materials atop a substrate are provided herein. In some embodiments, a method of depositing a metal-polymer composite material atop a substrate disposed in a hot wire chemical vapor deposition (HWCVD) chamber may include flowing a current through a plurality of filaments disposed in the HWCVD chamber, the filaments comprising a metal to be deposited atop a substrate; providing a process gas comprising an initiator and a monomer to the HWCVD chamber; and depositing a metal-polymer composite material on the substrate using species decomposed from the process gas and metal atoms ejected from the plurality of filaments.Type: ApplicationFiled: September 10, 2012Publication date: March 14, 2013Applicant: APPLIED MATERIALS, INC.Inventors: SUKTI CHATTERJEE, AMIT CHATTERJEE
-
Publication number: 20130065402Abstract: A method of cleaning an inside of a processing chamber is provided according to an embodiment of the present disclosure. The method includes supplying a fluorine-based gas and a nitrogen oxide-based gas as the cleaning gas, into the processing chamber heated to a first temperature, and removing a deposit by a thermochemical reaction. The method further includes changing a temperature in the processing chamber to a second temperature higher than the first temperature, and supplying the fluorine-based gas and the nitrogen oxide-based gas as the cleaning gas, and removing extraneous materials, remaining on the surface of the member in the processing chamber, by a thermochemical reaction.Type: ApplicationFiled: September 14, 2012Publication date: March 14, 2013Applicant: HITACHI KOKUSAI ELECTRIC INC.Inventors: Kenji Kameda, Yuji Urano
-
Publication number: 20130065403Abstract: A wafer carrier used in wafer treatments such as chemical vapor deposition has pockets for holding the wafers and support surfaces for supporting the wafers above the floors of the pockets. The carrier is provided with thermal control features such as trenches which form thermal barriers having lower thermal conductivity than surrounding portions of the carrier. These thermal control features promote a more uniform temperature distribution across the wafer surfaces and across the carrier top surface.Type: ApplicationFiled: November 9, 2012Publication date: March 14, 2013Inventors: Ajit Paranjpe, Boris Volf, Eric A. Armour, Sandeep Krishnan, Guanghua Wei, Lukas Urban
-
Publication number: 20130065404Abstract: Provided are processes for the low temperature deposition of silicon-containing films using carbosilane precursors containing a carbon atom bridging at least two silicon atoms. Certain methods comprise providing a substrate; in a PECVD process, exposing the substrate surface to a carbosilane precursor containing at least one carbon atom bridging at least two silicon atoms; exposing the carbosilane precursor to a low-powered energy sourcedirect plasma to provide a carbosilane at the substrate surface; and densifying the carbosilanestripping away at least some of the hydrogen atoms to provide a film comprising SiC. The SiC film may be exposed to the carbosilane surface to a nitrogen source to provide a film comprising SiCN.Type: ApplicationFiled: September 11, 2012Publication date: March 14, 2013Applicant: Applied Materials, Inc.Inventors: Timothy W. Weidman, Todd Schroeder
-
Publication number: 20130065405Abstract: A shield structure of a conductor cable includes a first case that accommodates a rotating electrical machine, a first terminal block that is provided on the first case, a second case that accommodates an inverter, a second terminal block that is provided on the second case, a conductor cable that electrically connects the first terminal block and the second terminal block to each other, and a metal shell that is arranged across the first case and the second case and covers at least part of a periphery of the conductor cable.Type: ApplicationFiled: August 31, 2012Publication date: March 14, 2013Applicant: TOYOTA JIDOSHA KABUSHIKI KAISHAInventors: Yukio Tsuchiya, Kentaro Haruno
-
Publication number: 20130065406Abstract: An electrical plug and receptacle relying on magnetic force from an electromagnet to maintain contact are disclosed. The plug and receptacle can be used as part of a power adapter for connecting an electronic device, such as a laptop computer, to a power supply. The plug includes electrical contacts, which are preferably biased toward corresponding contacts on the receptacle. The plug and receptacle each have a magnetic element. The magnetic element on one of the plug or receptacle can be a magnet or ferromagnetic material. The magnetic element on the other of the plug or receptacle is an electromagnet. When the plug and receptacle are brought into proximity, the magnetic attraction between the electromagnet magnet and its complement, whether another magnet or a ferromagnetic material, maintains the contacts in an electrically conductive relationship.Type: ApplicationFiled: September 14, 2012Publication date: March 14, 2013Applicant: Apple Inc.Inventors: Matthew Dean Rohrbach, Mark Edward Doutt, Bartley K. Andre, Kanye Lim, John C. DiFonzo, Jean-Marc Gery
-
Publication number: 20130065407Abstract: An electrical connection system includes a plug having contact elements, and a socket having mating contact elements. The plug can be connected magnetically to the socket by means of a magnet device for transmitting signals or current. The magnet device has at least one connection pair, which comprises a magnet and a connecting element, which can be attracted by the magnet, but itself is not in the form of a permanent magnet, wherein respectively the magnet of a connection pair is arranged in the socket, and the connecting element which is not in the form of a permanent magnet is arranged in the plug.Type: ApplicationFiled: May 3, 2011Publication date: March 14, 2013Inventors: Markus Schichl, Folke Michelmann
-
Publication number: 20130065408Abstract: An exemplary flexible printed circuit board (FPCB) includes a flexible printed circuit (FPC) body and a connector. The FPC body includes two ground layers and a signal layer sandwiched between and shielded by the two ground layers. The connector includes two layers of ground pins and a layer of data pins sandwiched between the two layers of ground pins. The layer of data pins are electrically connected to the signal layer, and the two layers of ground pins are electrically connected to the two ground layers, respectively.Type: ApplicationFiled: October 28, 2011Publication date: March 14, 2013Applicants: HON HAI PRECISION INDUSTRY CO., LTD., HONG FU JIN PRECISION INDUSTRY (ShenZhen) CO., LTD.Inventors: CHUAN-CHIEH LEE, YAN-LI GUO
-
Publication number: 20130065409Abstract: A cable installation assembly includes a connector, a power wire, a ground wire and two signal wires. The connector includes a base, having a plurality of terminal containing grooves formed in the base and arranged separately adjacent to each other. The power wire includes a power core and a power terminal electrically coupled to power core, and each power terminal is plugged into each corresponding terminal containing groove. The ground wire includes a grounding core and a grounding terminal electrically coupled to the grounding core and plugged into the terminal containing groove. The signal wire includes a signal core and a signal terminal electrically coupled to signal core, and the two signal terminals are plugged into the terminal containing grooves respectively and not arranged adjacent to each other, so that signals can be transmitted without interfering with one another.Type: ApplicationFiled: September 14, 2011Publication date: March 14, 2013Inventor: Chih-Cheng LIN
-
Publication number: 20130065410Abstract: The subject matter of the invention is a plug-type connector, comprising a contact carrier element (10), wherein a contact element (16) for receiving a conductor (12) of a cable is arranged in the contact carrier element (10), wherein the contact element (16) is fixed in the contact carrier element (10), wherein, in the event of a force being exerted on the contact element (16) which is greater than the holding force of the fixing, the fixing can be released and the contact element (16) becomes movably mounted in the contact carrier element (10).Type: ApplicationFiled: September 11, 2012Publication date: March 14, 2013Inventors: Detlef Nehm, Thomas Führer, Hans-Hilmar Schulte
-
Publication number: 20130065411Abstract: A card connector for receiving a card is provided. The card connector includes a housing a plurality of contacts, a slider and a stopper. The housing includes a front wall, a rear wall, and a card receiving passageway formed therein. The contacts are secured in the housing. The slider is positioned and slidable between the front wall and the rear wall. The stopper is supported by the slider and includes end sections positioned adjacent to the slider, such that a space is provided between one of the end sections and the slider.Type: ApplicationFiled: September 14, 2012Publication date: March 14, 2013Inventors: Junya Tsuji, Tatsuki Watanabe, Yuki Kudo