Work Holders, Or Handling Devices Patents (Class 118/500)
  • Patent number: 9768046
    Abstract: A wafer storage container includes a shell body including a first side body and a second side body that face, an upper body connected with upper parts of the first side body and the second side body, a rear body connected with an end of one side of each of the first side body and the second side body, and a lower body connected with lower parts of the first side body and the second side body, and configured to define an internal space together with the first side body, the second side body, the upper body, and the rear body.
    Type: Grant
    Filed: November 13, 2014
    Date of Patent: September 19, 2017
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Hyun-Ho Cho, Hyeog-Ki Kim, Jung-Hun Nam
  • Patent number: 9748118
    Abstract: Provided is a substrate treating apparatus. The substrate treating apparatus includes a treating container having an opened upper portion, a substrate heating unit heating a substrate disposed in the treating container while supporting the substrate, and a treating solution supply unit supplying a treating solution onto the substrate disposed on the substrate heating unit. The substrate heating unit includes a rotatable chuck stage on which the substrate is placed, a rotation part having a hollow shape, the rotation part being coupled to the chuck stage to rotate the chuck stage, and a heat generation part disposed in the chuck stage.
    Type: Grant
    Filed: July 30, 2014
    Date of Patent: August 29, 2017
    Assignee: Semes Co., Ltd.
    Inventors: Yu-Hwan Kim, Jung-Bong Choi, Ho-Jong Hwang
  • Patent number: 9738974
    Abstract: A susceptor, comprising: a base part; multiple holders distributed on the base part for accommodating wafers; an inner ring connected to the base part; and an outer ring detachably connected to the base part and separated from the inner ring; wherein the inner ring and the outer ring separate the holders from one another. A susceptor, comprising: a base part; multiple holders distributed on the base part for accommodating wafers; a cover comprising a first surface facing the base part, and a second surface opposite to the first surface; a first positioning structure; a second positioning structure formed in the first surface; and a third positioning structure formed in the base part, wherein the cover connects to the base part by associating the first positioning structure with the second positioning structure and the third positioning structure.
    Type: Grant
    Filed: July 2, 2015
    Date of Patent: August 22, 2017
    Assignee: Epistar Corporation
    Inventors: Yuan-Hung Huang, Chung-Kuei Huang, Ai-Fa Lee, Shang-Po Chien, Meng-Tu Chiang, Chi-Ling Lee, Ying-Chun Chuang, Wen-Hsien Lo
  • Patent number: 9738975
    Abstract: A semiconductor substrate processing apparatus includes a vacuum chamber having a processing zone in which a semiconductor substrate may be processed, a process gas source in fluid communication with the vacuum chamber for supplying a process gas into the vacuum chamber, a showerhead module through which process gas from the process gas source is supplied to the processing zone of the vacuum chamber, and a substrate pedestal module. The substrate pedestal module includes a platen made of ceramic material having an upper surface configured to support a semiconductor substrate thereon during processing, a stem made of ceramic material having an upper stem flange that supports the platen, and a backside gas tube made of ceramic material that is located in an interior of the stem.
    Type: Grant
    Filed: May 12, 2015
    Date of Patent: August 22, 2017
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Troy Alan Gomm, Nick Ray Linebarger, Jr.
  • Patent number: 9740111
    Abstract: An electrostatic carrier is described for carrying a substrate for handling through different processes. The carrier has a dielectric plate having a top side and a bottom side and configured to be attached on a top side of the plate to a substrate using electrostatic force, and a base plate coupled to a bottom side of the dielectric plate. Electrodes are formed on the base plate and extend across the base plate parallel to the top side of the dielectric plate. The electrodes are configured to carry an electrostatic charge and formed so that electrodes of a first charge are positioned near electrodes of a second charge. Connectors extend through the base plate to the electrodes to couple the electrodes to a source of electrostatic charge.
    Type: Grant
    Filed: May 16, 2014
    Date of Patent: August 22, 2017
    Assignee: Applied Materials, Inc.
    Inventors: Arvind Sundarrajan, Jen Sern Lew, Sriskantharajah Thirunavukarasu, Karthik Elumalai
  • Patent number: 9732425
    Abstract: Disclosed is a coating chamber having a process passage in which a coating process is performed, a particle supply means configured to supply nanoparticles into the process passage, a gas supply means configured to supply a carrier gas and a reactive gas serving as a source of a shell material into the process passage, and a low pressure forming means configured to form a low pressure in the process passage. The coating chamber has a speed adjustment member formed of a porous material or a grid and installed in the process passage, and as a moving speed of the nanoparticles is decreased due to flow resistance or collision of the nanoparticles passing through the speed adjustment member, first and second precursors supplied as the reactive gas move more rapidly than the nanoparticles to coat a thin film on the nanoparticles with the material.
    Type: Grant
    Filed: September 16, 2014
    Date of Patent: August 15, 2017
    Assignee: Daejin University Center for Educational Industrial Cooperation
    Inventors: Sungho Park, Won-Seok Chae, Man So Han
  • Patent number: 9715171
    Abstract: An imprint lithography apparatus includes an actuator configured to displace an imprint template holder relative to a substrate holder to perform an imprint process. The imprint template holder and/or the substrate holder being supported on a support structure, the support structure being mounted to a vibration isolation system that is mounted to a base of the apparatus. The vibration isolation system is configured to provide a vibration isolation of the support structure relative to the base. A control unit is configured to control the actuator during the imprint process. The control unit is arranged to control an adjustable member of the vibration isolation system to adjust a dynamical characteristic of the vibration isolation system during at least part of the imprint process so as to reduce a displacement of the support structure relative to the base due to a force exerted on the support structure during the imprint process.
    Type: Grant
    Filed: December 9, 2010
    Date of Patent: July 25, 2017
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Hans Butler, Johannes Petrus Martinus Bernardus Vermeulen, Marc Wilhelmus Maria Van Der Wijst, Jeroen Pieter Starreveld, Cornelius Adrianus Lambertus De Hoon, Francois Xavier Debiesme
  • Patent number: 9663855
    Abstract: A plasma-enhanced chemical vapor deposition (“PECVD”) apparatus includes: an ejecting unit which is configured to eject a gas toward a substrate onto which the gas is deposited; a lift which is configured to support and selectively raise or lower a mask unit in which is defined a pattern through which the gas ejected from the ejecting unit passes towards the substrate; and a susceptor into which a portion of the lift is inserted, and which is configured to linearly move the substrate. A temperature of the lift is variable.
    Type: Grant
    Filed: October 30, 2013
    Date of Patent: May 30, 2017
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventors: Jung-Sik Lee, Myung-Su Heo, Seok-Won Jung
  • Patent number: 9666457
    Abstract: An adsorption device for rotatable heating is provided with an adsorption heating plate, a support needle driving device, a rotary sliding ring, and a turning shaft. The adsorption heating plate is amounted at the top of the turning shaft, and a wafer is arranged at the top of the adsorption heating plate. The rotary sliding ring is connected to the turning shaft in which a vacuum channel and a connection wire channel are arranged. Support needles are connected to the output end of the support needle driving device, run through the adsorption heating plate, and are arranged at the bottom of the wafer uniformly. The adsorption device incorporates the wafer adsorption function, the wafer rotating function with controllable speed, and the heating function for heating the wafer to reach different temperatures, thereby providing adsorption and heating rotation at the same time.
    Type: Grant
    Filed: December 10, 2010
    Date of Patent: May 30, 2017
    Assignee: SHENYANG KINGSEMI CO., LTD.
    Inventors: Shaoyong Wang, Mingbo Wang
  • Patent number: 9633875
    Abstract: An apparatus for improving the temperature uniformity of a workpiece during processing is disclosed. The apparatus includes a platen having a separately controlled edge heater capable to independently heating the outer edge of the platen. In this way, additional heat may be supplied near the outer edge of the platen, helping to maintain a constant temperature across the entirety of the platen. This edge heater may be disposed on an outer surface of the platen, or may, in certain embodiments, be embedded in the platen. In certain embodiments, the edge heater and the primary heating element are disposed in two different planes, where the edge heater is disposed closer to the top surface of the platen than the primary heating element.
    Type: Grant
    Filed: March 13, 2015
    Date of Patent: April 25, 2017
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Dawei Sun, D. Jeffrey Lischer, Steven M. Anella, Dale K. Stone, Lyudmila Stone
  • Patent number: 9624595
    Abstract: One embodiment provides an electroplating apparatus, which includes a tank filled with an electrolyte solution, a number of anodes situated around edges of the tank, a cathode situated above the tank, and a plurality of wafer-holding jigs attached to the cathode. A respective wafer-holding jig includes a common connector electrically coupled to the cathode and a pair of wafer-mounting frames electrically coupled to the common connector. Each wafer-mounting frame includes a plurality of openings, and a respective opening provides a mounting space for a to-be-plated solar cell, thereby facilitating simultaneous plating of front and back surfaces of the plurality of the solar cells.
    Type: Grant
    Filed: May 23, 2014
    Date of Patent: April 18, 2017
    Assignee: SolarCity Corporation
    Inventors: Jianming Fu, Wen Zhong Kong
  • Patent number: 9622375
    Abstract: An electrostatic chuck is described with external flow adjustments for improved temperature distribution. In one example, an apparatus has a dielectric puck to electrostatically grip a silicon wafer. A cooling plate is fastened to and thermally coupled to the ceramic puck. A supply plenum receives coolant from an external source and a plurality of coolant chambers are thermally coupled to the cooling plate and receive coolant from the supply plenum. A return plenum is coupled to the cooling zones to exhaust coolant from the cooling zones and a plurality of flow control valves are positioned between the supply plenum and a respective one of the cooling zones to control the flow rate of coolant from the supply plenum to the cooling zones.
    Type: Grant
    Filed: December 31, 2013
    Date of Patent: April 11, 2017
    Assignee: Applied Materials, Inc.
    Inventors: Matthew J. Busche, Vijay D. Parkhe, Wendell Boyd, Jr., Senh Thach, Konstantin Makhratchev, Masanori Ono
  • Patent number: 9611563
    Abstract: A plating method includes holding a substrate with a substrate holder while bringing a sealing member into pressure contact with a peripheral portion of the substrate to form an enclosed internal space in the substrate holder; performing a first-stage leakage test of the substrate holder by producing a vacuum in the internal space and checking whether pressure in the internal space reaches a predetermined vacuum pressure within a certain period of time; and if the substrate holder has passed the first-stage leakage test, performing a second-stage leakage test of the substrate holder by closing off the internal space after producing the vacuum therein and checking whether a change in the pressure in the internal space reaches a predetermined value within a certain period of time.
    Type: Grant
    Filed: April 12, 2016
    Date of Patent: April 4, 2017
    Assignee: Ebara Corporation
    Inventors: Yoshio Minami, Jumpei Fujikata, Takashi Kishi
  • Patent number: 9589813
    Abstract: A stage unit may include a frame, a first guide device, a stage, a second guide device and a pad. The first guide device may be arranged over an upper surface of the frame and configured to guide the stage in a first direction. The stage may be movably connected to the first guide device. The second guide device may be arranged over an upper surface of the stage and configured to guide the pad in a second direction substantially perpendicular to the first direction. The pad may be movably connected to the second guide device and configured to support a substrate. Therefore, the substrate may be supported by and uniformly floated by the frame, the stage and the pad.
    Type: Grant
    Filed: August 8, 2013
    Date of Patent: March 7, 2017
    Assignee: Samsung Display Co., Ltd.
    Inventor: Hiroyuki Fujita
  • Patent number: 9576839
    Abstract: A substrate carrier arrangement (10, 11) for a coating system (12) is provided, comprising a carrier (1) which comprises at least one support region (3) having a support surface (30), on which a substrate support (2) is arranged, and which support region comprises in the support surface (30) at least one first and one second gas inlet (4, 5), wherein the first gas inlet (4) is at a smaller distance from a center (M) of the support surface (30) than the second gas inlet (5) and wherein the first and second gas inlet (4, 5) comprise mutually independent gas feeds (40, 50) which are arranged to supply gases having mutually different thermal conductivities. A coating system comprising a substrate carrier arrangement and a method for performing a coating process are also provided.
    Type: Grant
    Filed: February 20, 2013
    Date of Patent: February 21, 2017
    Assignee: OSRAM OPTO SEMICONDUCTORS GMBH
    Inventor: Thomas Bauer
  • Patent number: 9575418
    Abstract: An apparatus for transferring a target, such as a substrate or a substrate support structure onto which a substrate has been clamped, from a substrate transfer system to a vacuum chamber of a lithography system. The apparatus comprises a load lock chamber for transferring the target into and out of the vacuum chamber. The load lock chamber comprises a first wall with a first passage providing access between a robot space and the interior of the load lock chamber, a second wall with a second passage providing access between the interior of the load lock chamber and the vacuum chamber, and plurality of handling robots for transferring the targets comprising: a first handling robot movable within the robot space to access the substrate transfer system and the first passage; and a second handling robot movable within the load lock chamber to access the first passage and the second passage.
    Type: Grant
    Filed: September 11, 2015
    Date of Patent: February 21, 2017
    Assignee: MAPPER LITHOGRAPHY IP B.V.
    Inventors: Vincent Sylvester Kuiper, Erwin Slot, Marcel Nicolaas Jacobus Van Kervinck, Guido De Boer, Hendrik Jan De Jong
  • Patent number: 9567673
    Abstract: The present disclosure relates to a deposition apparatus used to manufacture a semiconductor device including a process chamber; a substrate susceptor installed in the process chamber and including a plurality of concentrically arranged stages on which substrates are positioned; a plurality of members for supplying reaction gas; a member for supplying purge gas; a spray member including a plurality of baffles for independently spraying reaction gas and purge gas, supplied from the plurality of members supplying reaction gas and the member supplying purge gas, on the entirety of the treating surfaces of the substrate, in positions corresponding respectively to the substrates positioned on the stages; and a driving unit for rotating the substrate susceptor or the spray member in order for the baffles of the spray member to sequentially revolve each of the plurality of substrates positioned on the stages.
    Type: Grant
    Filed: March 16, 2011
    Date of Patent: February 14, 2017
    Assignee: Kookje Electric Korea Co., Ltd.
    Inventors: Yong Sung Park, Sung Kwang Lee, Dong Yeul Kim
  • Patent number: 9564378
    Abstract: The disclosure relates to systems and methods for detecting when a microelectronic substrate is no longer properly secured or lost from a rotating chuck. A microelectronic substrate may be secured to a rotating chuck that may rotate the substrate when exposing the substrate to the chemicals during a treatment in a process chamber. The rotating chuck may include one or more detectors to detect the position of a gripping mechanism that secure the microelectronic substrate. The detectors may generate an electrical signal that correlates to the position of the microelectronic substrate. When the electrical signal(s) exceed a threshold the system may stop rotating the chuck to prevent additional damage to the process chamber.
    Type: Grant
    Filed: December 10, 2014
    Date of Patent: February 7, 2017
    Assignee: TEL FSI, INC.
    Inventors: Alan D. Rose, Michael Gruenhagen
  • Patent number: 9558976
    Abstract: A substrate processing apparatus includes a substrate retaining mechanism into which retaining members on which substrates are placed are installed to retain the substrates; a substrate transfer unit configured to transfer at least one substrate; a detecting unit configured to detect states of the retaining members installed into the substrate retaining mechanism; a determination unit configured to compare data representing the states of the retaining members, which is obtained by the detecting unit, with master data, which is reference data obtained by detecting normal states of the retaining members, beforehand to determine the states of the retaining members; and a transfer control unit configured to control the substrate transfer unit according to the determination of the determination unit.
    Type: Grant
    Filed: March 7, 2013
    Date of Patent: January 31, 2017
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Junichi Kawasaki, Hajime Abiko
  • Patent number: 9558932
    Abstract: Wafer oxidation apparatus for selective oxidation of a semiconductor workpiece has an oxidation chamber. The oxidation chamber is heated by external infrared heating lamps. A chuck assembly is disposed within the oxidation chamber and configured to be approximately thermally isolated from the oxidation chamber. Carrier gas pathways deliver heated carrier gasses to the oxidation chamber at variable rates for oxidation uniformity.
    Type: Grant
    Filed: April 14, 2015
    Date of Patent: January 31, 2017
    Assignee: CALIFORNIA SCIENTIFIC, INC.
    Inventor: Majid Riaziat
  • Patent number: 9536770
    Abstract: An apparatus for processing wafer-shaped articles includes a rotary chuck adapted to hold a wafer shaped article thereon. The rotary chuck includes a peripheral series of pins configured to contact an edge region of a wafer-shaped article. Each of the pins projects upwardly from the rotary chuck, and each of the pins is individually secured to the rotary chuck by a respective connecting mechanism. Any selected one of the pins can be removed from the rotary chuck by disconnecting its corresponding connecting mechanism without removing any structure of the rotary chuck that surrounds any others of the pins.
    Type: Grant
    Filed: January 14, 2014
    Date of Patent: January 3, 2017
    Assignee: LAM RESEARCH AG
    Inventors: Michael Brugger, Karl-Heinz Hohenwarter, Dieter Spitaler, Gerald Anton
  • Patent number: 9528184
    Abstract: A method for depositing a thin film on a substrate using atmospheric pressure atomic-layer deposition includes providing a chamber having an atmosphere and a stationary support located in the chamber. The moveable substrate is located in a spatial relationship with the stationary support. A pressurized compound fluid flow, including an inert fluid surrounding a reactive fluid, is provided simultaneously through the stationary support that impinges on at least a portion of the moveable substrate to fluidically levitate the moveable substrate and expose the moveable substrate to the compound fluid flow to deposit a thin film on the moveable substrate.
    Type: Grant
    Filed: February 13, 2015
    Date of Patent: December 27, 2016
    Assignee: EASTMAN KODAK COMPANY
    Inventors: Kurt D. Sieber, Kam Chuen Ng, Ronald Steven Cok
  • Patent number: 9520315
    Abstract: An electrostatic chuck is described with external flow adjustments for improved temperature distribution. In one example, an apparatus has a dielectric puck to electrostatically grip a silicon wafer. A cooling plate is fastened to and thermally coupled to the ceramic puck. A supply plenum receives coolant from an external source and a plurality of coolant chambers are thermally coupled to the cooling plate and receive coolant from the supply plenum. A return plenum is coupled to the cooling zones to exhaust coolant from the cooling zones. A plurality of adjustable orifices are positioned between the supply plenum and a respective one of the cooling zones to control the flow rate of coolant from the supply plenum to the cooling zones.
    Type: Grant
    Filed: December 31, 2013
    Date of Patent: December 13, 2016
    Assignee: Applied Materials, Inc.
    Inventors: Vijay D. Parkhe, Matthew J. Busche, Wendell Boyd, Jr., Senh Thach, Konstantin Makhratchev, Masanori Ono
  • Patent number: 9506147
    Abstract: An apparatus for depositing a thin film on a substrate using atmospheric pressure atomic-layer deposition includes a chamber having an atmosphere and a moveable substrate. A stationary support is located in the chamber that supports the moveable substrate. A pressurized-fluid source provides a compound fluid flow including an inert fluid surrounding a reactive fluid that flows simultaneously through the stationary support and impinges on at least a portion of the moveable substrate to fluidically levitate the moveable substrate and expose the moveable substrate to the compound fluid flow to deposit a thin film on the moveable substrate.
    Type: Grant
    Filed: February 13, 2015
    Date of Patent: November 29, 2016
    Assignee: EASTMAN KODAK COMPANY
    Inventors: Kurt D. Sieber, Kam Chuen Ng, Ronald Steven Cok
  • Patent number: 9499897
    Abstract: Provided is a thin film forming apparatus for reducing operation time and cost by forming a film only on a specific portion on substrates. A substrate holding mechanism provided in the apparatus includes: substrate holding members holding substrates in a manner that a part of a non-film forming portion of a substrate overlaps the other substrate and a film forming portion is exposed, a support member supporting the substrate holding members, and a rotation member which rotates the support member. The substrate holding members include: holding surfaces holding the substrates and disposed between a film forming source and the substrates, step portions formed between the holding surfaces in a manner that ends of the substrates respectively contact with the step portions, and opening portions formed on the holding surfaces of the portion corresponding to the film forming portion when the ends of the substrates contact with the step portions.
    Type: Grant
    Filed: September 17, 2012
    Date of Patent: November 22, 2016
    Assignee: SHINCRON CO., LTD.
    Inventors: Yousong Jiang, Ichiro Shiono, Mitsuhiro Miyauchi, Takaaki Aoyama, Tatsuya Hayashi, Ekishu Nagae
  • Patent number: 9502274
    Abstract: Embodiments of the present inventive concepts provide a wafer loader having one or more buffer zones to prevent damage to a wafer loaded in the wafer loader. The wafer loader may include a plurality of loading sections that protrude from a main body and are configured to be arranged at various locations along an edge of the wafer. Each of the loading sections may include a groove into which the edge of the wafer may be inserted. The loading section may include first and second protrusions having first and second inner sides, respectively, that face each other to define the groove therebetween. At least one of the first and second inner sides may include a recess to define the buffer zone.
    Type: Grant
    Filed: May 19, 2014
    Date of Patent: November 22, 2016
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Yi Koan Hong, Byung Lyul Park, Jumyong Park, Jisoon Park, Kyu-Ha Lee, Siyoung Choi
  • Patent number: 9487862
    Abstract: A semiconductor growing apparatus including: a susceptor having a main face and a side face, the main face receiving a substrate for growing a semiconductor layer, and the side face having a groove; a heating element for heating the susceptor.
    Type: Grant
    Filed: July 26, 2011
    Date of Patent: November 8, 2016
    Assignee: SUMITOMO ELECTRIC INDUSTRIES, LTD.
    Inventor: Kazuhiko Horino
  • Patent number: 9447500
    Abstract: The invention relates to a CVD reactor having a process chamber (23) and a substrate holder support (1) arranged therein, said support comprising at least one bearing surface (4), wherein a plurality of gas inlet lines (7, 8) open out into the bearing surface (4?). The CVD reactor further has a substrate holder (2), the back side thereof facing the bearing surface (4?), wherein the gases fed through the gas inlet lines (7,8) into the space between the bearing surface (4?) and back side form a gas cushion (19) supporting the substrate holder (2). According to the invention, the gas cushion comprises a plurality of zones (A, C) that each can be fed through an associated gas inlet line (7, 8) and that are separated from each other by a means (15) preventing gas exchange between the zones (A, C). At least one inner zone (C) is associated with a gas discharge line (13, 14), via which the gas fed into the inner zone (C) by way of the inlet line (7, 8) can be discharged.
    Type: Grant
    Filed: October 8, 2010
    Date of Patent: September 20, 2016
    Assignee: Aixtron SE
    Inventors: Francisco Ruda Y Witt, Johannes Käppeler
  • Patent number: 9431284
    Abstract: In a device for machining, in particular etching and/or developing, substrates, in particular wafers, in particular etching and/or developing, having a turntable, the turntable has a Venturi gap.
    Type: Grant
    Filed: March 11, 2015
    Date of Patent: August 30, 2016
    Assignee: solar-semi GmbH
    Inventor: Pirmin Muffler
  • Patent number: 9427913
    Abstract: A heat transfer sheet adhering apparatus, for adhering a heat transfer sheet to a ring-shaped member adapted to be used in a substrate processing apparatus, includes a heat transfer sheet mounting part configured to mount the heat transfer sheet thereon; a ring-shaped member supporting part configured to support the ring-shaped member; and a vertically movable pressing part configured to press the ring-shaped member supported by the ring-shaped member supporting part against the heat transfer sheet mounted on the heat transfer sheet mounting part. The pressing part is configured to press the ring-shaped member gradually from an inner peripheral side to an outer peripheral side of the ring-shaped member or from the outer peripheral side to the inner peripheral side of the ring-shaped member.
    Type: Grant
    Filed: July 9, 2013
    Date of Patent: August 30, 2016
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Toshinori Kitabata, Kazuya Matsumoto
  • Patent number: 9406485
    Abstract: An argon and helium plasma apparatus and method are disclosed that operate with argon or helium at atmospheric pressure, and at low temperatures, and with high concentrations of reactive species in the effluent stream. Laminar gas flow is developed prior to forming the plasma and at least one of the electrodes is heated which enables operation at conditions where the argon or helium plasma would otherwise be unstable and either extinguish, or transition into an arc. The apparatus and method can be employed to remove organic materials from a substrate, thereby cleaning the substrate; activate the surfaces of materials thereby enhancing adhesion between the material and an adhesive; kill microorganisms on a surface, thereby sterilizing the substrate; etches thin films of materials from a substrate, and deposit thin films and coatings onto a substrate.
    Type: Grant
    Filed: December 18, 2014
    Date of Patent: August 2, 2016
    Assignee: Surfx Technologies LLC
    Inventors: Siu Fai Cheng, Thomas Scott Williams, Toby Desmond Oste, Sarkis Minas Keshishian, Robert F. Hicks
  • Patent number: 9381537
    Abstract: A modular, rotisserie type multifunctional tooling fixture assembly is disclosed. The disclosed tooling fixture assembly comprises a positioning ring having a plurality of anchor members configured or adapted to retain a plurality of workpieces to be processed, and a retaining base defining a plurality of retaining members configured to be in locking engagement with the anchor members of the positioning ring and retain a plurality of workpieces in a fixed orientation. The tooling fixture assembly has utility in various coating related processes, including coating and grit blasting operations.
    Type: Grant
    Filed: December 7, 2012
    Date of Patent: July 5, 2016
    Assignee: PRAXAIR TECHNOLOGY, INC.
    Inventors: David A. McPherson, Albert Feuerstein, Thomas F. Lewis, III, Don Lemen, Ardy Kleyman, Andrew Thomas Westfall
  • Patent number: 9360771
    Abstract: An electrostatic clamp for use in holding an object onto a supporting table, the electrostatic clamp comprising: a multi-layer film comprising an electrode defined in an electrically conducting layer which is positioned between electrically insulating layers.
    Type: Grant
    Filed: February 7, 2012
    Date of Patent: June 7, 2016
    Assignee: ASML Netherlands B.V.
    Inventors: Eugene Maria Brinkhof, Jan Bex, Anko Jozef Cornelus Sijben, Johannes Wilhelmus Damen
  • Patent number: 9354528
    Abstract: A method of manufacturing a substrate holder for use in a lithographic apparatus, the method including providing a main body having a surface and a plurality of burls projecting from the surface and having end surfaces to support a substrate, providing a carrier surface adjacent the main body surface, and forming a conductive layer on at least part of the main body surface and an integral part on at least part of the carrier surface.
    Type: Grant
    Filed: April 25, 2012
    Date of Patent: May 31, 2016
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Jan Bex, Nicolaas Ten Kate, Raymond Wilhelmus Louis Lafarre, Johannes Wilhelmus Damen, Eugene Maria Brinkhof, Yogesh Pramod Karade
  • Patent number: 9340891
    Abstract: A plating method includes holding a substrate with a substrate holder while bringing a sealing member into pressure contact with a peripheral portion of the substrate to form an enclosed internal space in the substrate holder; performing a first-stage leakage test of the substrate holder by producing a vacuum in the internal space and checking whether pressure in the internal space reaches a predetermined vacuum pressure within a certain period of time; and if the substrate holder has passed the first-stage leakage test, performing a second-stage leakage test of the substrate holder by closing off the internal space after producing the vacuum therein and checking whether a change in the pressure in the internal space reaches a predetermined value within a certain period of time.
    Type: Grant
    Filed: March 22, 2013
    Date of Patent: May 17, 2016
    Assignee: Ebara Corporation
    Inventors: Yoshio Minami, Jumpei Fujikata, Takashi Kishi
  • Patent number: 9324763
    Abstract: To protect a plurality of semiconductor chips of a sawn wafer housed in a shipping case. A method of manufacturing a semiconductor device includes a step of vacuum packing a sawn wafer while being housed in a shipping case. The shipping case has the following structure. The shipping case has a lid portion that covers the upper surface of the sawn wafer and a body portion that covers the lower surface of the sawn wafer. The lid portion has a recess portion that covers a plurality of semiconductor chips and a ventilation route communicated with the recess portion. In a step of reducing pressure in the shipping case, a gas in the shipping case is discharged outside via a ventilation route.
    Type: Grant
    Filed: July 16, 2015
    Date of Patent: April 26, 2016
    Assignee: Renesas Electronics Corporation
    Inventor: Shintaro Matsuda
  • Patent number: 9283587
    Abstract: A system for treating objects having at least one dipping tank, the objects being introducible into and removable out of said dipping tank by a transport carriage which moves on two parallel rails in an operating position and has a shaft that extends from one rail to the other rail in a transverse manner with respect to the two rails in the operating position. At least one pivoting arm is connected to the shaft, said pivoting arm having a supporting frame for the object. The shaft is pivotally hinged to the body of the transport carriage in an end region that adjoins one of the two rails such that said shaft can rotate together with the pivoting arm and the supporting frame into a space-saving position, in which said shaft runs approximately parallel with respect to one of the two rails. In this manner, the transport carriage can be converted into a single-track vehicle when returning from the unloading position of the objects into the loading position.
    Type: Grant
    Filed: April 27, 2012
    Date of Patent: March 15, 2016
    Assignee: EISENMANN AG
    Inventors: Gerd Schneider, Alexander Schurba
  • Patent number: 9287146
    Abstract: To provide an induction heating apparatus that employs a batch-type heating system for heating a large-diameter wafer and can perform uniform heating with a high precision, an induction heating apparatus (10) that heats an inductive-heating target member using a magnetic flux generated from a solenoid-type induction heating coil (18) and heats a wafer (40) using the heat generated from the inductive-heating target member, wherein a plurality of inductive-heating target members 14 (14a, 14b, and 14c) of which principal surface is arranged perpendicularly to a core axis direction of the induction heating coil (18) are interspersed. In the induction heating apparatus (10) described above, a susceptor (12) may be configured by housing the inductive-heating target member (14) in a single holder (16) made of a member having magnetic permeability and heat conductivity.
    Type: Grant
    Filed: August 31, 2011
    Date of Patent: March 15, 2016
    Assignee: Mitsui Engineering & Shipbuilding Co., Ltd.
    Inventor: Naoki Uchida
  • Patent number: 9216539
    Abstract: According to one embodiment, an imprinting apparatus includes an ejecting unit, a stage, a moving unit, and an observation unit. The ejecting unit ejects and drips a hardening resin material onto a substrate to be processed. The substrate to be processed is placed onto the stage. The moving unit relatively moves the ejecting unit and the stage. The observation unit observes the dripped hardening resin material and the pattern with the state in which the dripped hardening resin material and the pattern are overlaid on a plane, before the template is brought into contact with the hardening resin material.
    Type: Grant
    Filed: August 14, 2014
    Date of Patent: December 22, 2015
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Takumi Ota
  • Patent number: 9203200
    Abstract: A coupling (30) for the introduction of a bias voltage into a vacuum chamber. The coupling consists of a metallic ball bearing assembly (36), a bearing sleeve or cup (34), and an EMI shielding gasket (42) seated within the bearing sleeve or cup. The ball bearing assembly is fitted within the EMI shielding gasket, about a metallic shaft (32) which, in turn, is coupled to a source of the bias voltage. The bearing sleeve or cup is, in turn, coupled to a rotating component such as a platen, for receiving the bias voltage within the vacuum chamber.
    Type: Grant
    Filed: March 11, 2014
    Date of Patent: December 1, 2015
    Assignee: The Timken Company
    Inventors: Richard J. Fowler, Michael D. Drory
  • Patent number: 9202736
    Abstract: A method for refurbishing at least a portion of an electrostatic chuck. The method comprises removing a first dielectric component from a fluid distribution element of the electrostatic chuck and replacing the first dielectric component with a second dielectric component.
    Type: Grant
    Filed: July 31, 2007
    Date of Patent: December 1, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Kadthala Ramaya Narendrnath, Dmitry Lubomirsky, Xinglong Chen, Sudhir Gondhalekar, Muhammad Rasheed, Tony Kaushal
  • Patent number: 9149831
    Abstract: Disclosed are stent holding devices having a support mandrel and one or more stent retaining fixtures disposed on the mandrel. The retaining fixture may include a character identifier to facilitate tracking a stent mounted on the holding device. The retaining fixture may include a conical protrusion and a conical recess to allow any number of retaining fixtures to engage each other and be stacked on the mandrel. The retaining fixture may include a tubular member having a spiral cut that enables the diameter of the tubular member to be adjusted to allow for a frictional fit on the mandrel. The retaining fixture may have a Z-shaped or T-shaped structure configured to retain a stent. The stent retaining fixture may also have a filament for retaining a stent.
    Type: Grant
    Filed: April 26, 2013
    Date of Patent: October 6, 2015
    Assignee: Abbott Cardiovascular Systems Inc.
    Inventors: Isaac Rodriguez-Mejia Plans, Javier Palomar Moreno, Tomás Madden, David O'Neill, Victoria May Gong
  • Patent number: 9064916
    Abstract: A substrate holder has two holder constituting bodies, each having a plurality of columns arranged on an imaginary circle, and substrate holding sections that hold circumferential portions of respective substrates. The holder constituting bodies hold the substrates so that either their front surfaces or their back surfaces face upward with a substrate having an upward facing front and a substrate having an upward facing rear being alternately arranged in a vertical direction. At least one of the holder constituting bodies moves in the vertical direction to change the positions of the holder constituting bodies relative to each other. A distance between a first pair of vertically adjacent substrates with their respective front surfaces facing each other is set to ensure treatment uniformity, and to be larger than a distance between a second pair of vertically adjacent substrates with their respective back surfaces facing each other.
    Type: Grant
    Filed: April 10, 2014
    Date of Patent: June 23, 2015
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Hisashi Inoue, Shunichi Matsumoto, Yasushi Takeuchi
  • Publication number: 20150147461
    Abstract: A fluid application device, strand engagement device, and method of controlling the same are provided. The fluid application device includes an applicator head and a nozzle assembly. The nozzle assembly includes a guide slot configured to receive a strand of material and an orifice configured to discharge a first fluid on to the strand. The strand engagement device is secured to the applicator head and includes an actuating assembly and an engagement arm connected to the actuating assembly. The engagement arm is configured to engage the strand and move between a first position and a second position in response to actuation of the actuating assembly. The method of controlling the strand engagement device includes receiving an input signal including content, determining the content of the input signal and operating the strand engagement device in response to, and based on the content of, the input signal.
    Type: Application
    Filed: October 28, 2014
    Publication date: May 28, 2015
    Inventors: Mel Steven Lessley, Edward Wayne Bolyard, JR.
  • Patent number: 9036280
    Abstract: A holding device (1) holds a lens (6) at its lens edge (5) with the aid of an adhesive connection (16, 17). The adhesive connection (16, 17) is applied only at one adhesive point or only at two spaced apart adhesive points (16, 17). Each holding device (1) provided with a lens (6) is positioned on the dip frame in such a manner that the lens (6) is positioned above its holding device (1). A method is provided for finishing lenses (6) wherein the lenses (6) are subjected to various sequential finishing steps of a finishing process and the lenses (6) are cemented to the same holding device during finishing. The application of adhesive is only at one adhesive point or at two spaced apart adhesive points (16, 17).
    Type: Grant
    Filed: January 28, 2011
    Date of Patent: May 19, 2015
    Assignee: Carl Zeiss Vision GmbH
    Inventors: Ralf Meschenmoser, Gerhard Weiss, Martin Littek, Andreas Dangelmaier
  • Patent number: 9032977
    Abstract: A method for processing a plurality of substrates after forming a photosensitive film on each substrate includes carrying each substrate into a placement buffer including a plurality of supporters by a first transport mechanism; taking out each substrate from the placement buffer to an interface by a second transport mechanism; carrying each substrate into the exposure device; carrying each substrate out of the exposure device into the placement buffer by the second transport mechanism; taking out each substrate from the placement buffer to the processing section by the first transport mechanism; performing development processing on each substrate; making each substrate stand by at the placement buffer based on timing at which the exposure device can accept each substrate; and making each substrate stand by at the placement buffer based on timing at which the developing device can accept each substrate.
    Type: Grant
    Filed: December 13, 2013
    Date of Patent: May 19, 2015
    Assignee: SCREEN Semiconductor Solutions Co., Ltd.
    Inventors: Tetsuya Hamada, Takashi Taguchi
  • Publication number: 20150128855
    Abstract: The electronic device manufacturing apparatus is provided with: a substrate holding member; a first supply source which is provided so as to move relative to the primary surface side of the substrate, and which forms a nitrogen-containing layer by supplying a nitrogen-containing compound towards the primary surface of the substrate; and a second supply source which is disposed downstream of the first supply source in the direction of movement relative to the substrate, which forms a transparent electrode layer by supplying towards the primary surface of the substrate an electrode material having silver as the main component thereof, and which is disposed such that, between starting formation and two minutes after finishing formation of the nitrogen-containing layer at a prescribed position on the substrate, formation of the transparent electrode layer is started at said prescribed position on the substrate.
    Type: Application
    Filed: April 26, 2013
    Publication date: May 14, 2015
    Inventors: Toshiyuki Kinoshita, Takeshi Hakii, Hiroshi Ishidai, Kazuhiro Yoshida, Minako Ono, Takatoshi Tsujimura
  • Publication number: 20150122173
    Abstract: In order to provide a crossmember device for a floor unit of a coating and/or processing chamber where components of a drive device for a conveying device are protected from unwanted contamination, it is proposed that the crossmember device includes a crossmember and a force-transmitting drive element of a drive device, wherein the drive element is arranged at least in portions in an interior of the crossmember.
    Type: Application
    Filed: April 26, 2013
    Publication date: May 7, 2015
    Inventors: Andreas Federmann, Mario Reiber, Michael Baitinger, Samuel Caspari
  • Patent number: 9023736
    Abstract: A solar cell module manufacturing apparatus includes a stage, a holding member, a moving mechanism, and a pushing member. The stage suctions a plurality of elongated solar cells that is arranged to form a solar cell module. The holding member releasably holds a portion of a solar cell to be placed on the stage. The moving mechanism moves the holding member forward and backward with respect to the stage. The moving mechanism moves the holding member backward in a state that an end portion in a front side of the cell held by the holding member that has been moved forward is suctioned on the stage, and then the portion of the cell is released by the holding member. The pushing member moves over the cell such that the pushing member pushes a lift portion of the cell down to the stage while the holding member moves backward.
    Type: Grant
    Filed: February 13, 2012
    Date of Patent: May 5, 2015
    Assignee: Toray Engineering Co., Ltd.
    Inventors: Takashi Iwade, Toyoharu Terada, Kazunori Nakakita
  • Publication number: 20150118009
    Abstract: A graphite wafer carrier for LED epitaxial wafer processes, having a plurality of wafer pocket profiles above the carrier for carrying the epitaxial wafer substrate. The inner edge of the wafer pocket profile is a concave step with a plurality of inward-extended support portions; and also has a graphite wafer carrier edge and an axle hole at the center of the graphite wafer carrier. The pocket profiles of different quantities and sizes can be arranged on the basis of different process parameters. The disclosed structure can reduce or eliminate airflow interference and improve the wafer edge yield.
    Type: Application
    Filed: December 31, 2014
    Publication date: April 30, 2015
    Applicant: XIAMEN SANAN OPTOELECTRONICS TECHNOLOGY CO., LTD.
    Inventors: HSIANG-PIN HSIEH, QI NAN, LEI PAN