Gas Or Vapor Deposition Patents (Class 118/715)
  • Patent number: 11276585
    Abstract: A sealing device configured for use in a vacuum chamber between a reaction region of the vacuum chamber and an exhaust port includes a ring-shaped body with an upper surface and a lower surface. A distance between the upper surface and the lower surface of the sealing device is a thickness of the ring-shaped body. The thickness of the ring-shaped body differs along a circumference of the ring-shaped body such that the ring-shaped body has a wedge shape. The thickness of the ring-shaped body, around its circumference is dependent upon a structure of the exhaust port.
    Type: Grant
    Filed: August 2, 2018
    Date of Patent: March 15, 2022
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: June Hee Lee, Young Jong Yoon, Seok Heon Yu, Pill Kyu Jin, Jeong Woo Woo
  • Patent number: 11268192
    Abstract: A thin film processing apparatus includes a susceptor and a showerhead facing the susceptor. The showerhead includes a first plate including an inner tunnel, a first injection hole, and a second injection hole. The inner tunnel extends across the first plate in a thickness direction of the first plate. The first injection hole penetrates a first surface and a second surface of the first plate on opposite sides of the first plate in the thickness direction. The second injection hole penetrates the second surface of the first plate. The second injection is connected with the inner tunnel.
    Type: Grant
    Filed: June 7, 2019
    Date of Patent: March 8, 2022
    Assignee: SAMSUNG DISPLAY CO, LTD.
    Inventors: Woo Jin Kim, Dong Kyun Ko, Keun Hee Park, Myung Soo Huh, Seon Uk Park
  • Patent number: 11261528
    Abstract: Described herein is a technique capable of improving a film uniformity on a surface of a substrate and a film uniformity among a plurality of substrates including the substrate. According to one aspect thereof, there is provided a substrate processing apparatus including: a substrate retainer including: a product wafer support region, an upper dummy wafer support region and a lower dummy wafer support region; a process chamber in which the substrate retainer is accommodated; a first, a second and a third gas supplier; and an exhaust system. Each of the first gas and the third gas supplier includes a vertically extending nozzle with holes, wherein an upper of an uppermost hole and a lower end of a lowermost hole are arranged corresponding to an uppermost and a lowermost dummy wafer, respectively. The second gas supplier includes a nozzle with holes or a slit.
    Type: Grant
    Filed: September 10, 2020
    Date of Patent: March 1, 2022
    Assignee: Kokusai Electric Corporation
    Inventors: Hiroaki Hiramatsu, Shuhei Saido, Takuro Ushida
  • Patent number: 11256172
    Abstract: A light irradiating device includes a processing chamber in which a substrate is accommodated; a beam source chamber in which a beam source of an energy beam is accommodated; a partition wall configured to partition the processing chamber and the beam source chamber; multiple window members provided at the partition wall to transmit the energy beam outputted from the beam source toward the substrate within the processing chamber; and multiple gas discharge units respectively disposed around the multiple window members within the processing chamber, and configured to discharge an inert gas along surfaces of the multiple window members.
    Type: Grant
    Filed: June 24, 2020
    Date of Patent: February 22, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Takaya Kikai, Norihisa Koga, Masaru Tomono
  • Patent number: 11259396
    Abstract: A plasma generation system capable of more accurately measuring the actual temperature of a plasma gas applied to a target object. The plasma generation system includes: an emitting head configured to generate plasma gas by supplying power to electrodes provided in a reaction chamber to generate a plasma gas by converting a processing gas into plasma, and apply the generated plasma gas to a target object; and a temperature sensor configured to detect a temperature of the plasma gas and output a detection signal corresponding to the detected temperature. The temperature sensor is arranged at a position separated from an emission port of the emitting head from which the plasma gas is emitted. The emitting head is configured to be movable between the target object the temperature sensor.
    Type: Grant
    Filed: April 4, 2017
    Date of Patent: February 22, 2022
    Assignee: FUJI CORPORATION
    Inventors: Takahiro Jindo, Toshiyuki Ikedo
  • Patent number: 11257669
    Abstract: There is provided a technique that includes: (a) forming a film on a substrate in a process container by performing a cycle a predetermined number of times, the cycle including: supplying a precursor gas from a first pipe made of metal and a first nozzle to the substrate in the process container; supplying an oxygen-containing gas from a second pipe made of metal and a second nozzle to the substrate in the process container; and supplying a nitrogen-and-hydrogen-containing gas from the second pipe and the second nozzle to the substrate in the process container; and (b) forming a continuous fluorine-containing layer on an inner surface of the second pipe by supplying a fluorine-containing gas into the second pipe such that the fluorine-containing gas chemically reacts with the inner surface of the second pipe.
    Type: Grant
    Filed: December 12, 2019
    Date of Patent: February 22, 2022
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventors: Kazuhiro Harada, Masayoshi Minami, Akihito Yoshino, Masaya Nishida, Naoko Kitagawa, Shintaro Kogura, Shogo Otani
  • Patent number: 11255017
    Abstract: A method for delivering vaporized precursor in a substrate processing system using a vapor delivery system includes (a) selectively supplying push gas to an inlet of an ampoule storing liquid and vaporized precursor during a deposition period of a substrate; (b) measuring a pressure of the push gas and the vaporized precursor at an outlet of the ampoule during the deposition period; (c) determining a maximum pressure during the deposition period; (d) determining an integrated area for the deposition period based on a sampling interval and the maximum pressure during the sampling interval; and (e) repeating (a), (b), (c) and (d) for a plurality of the deposition periods for the substrate.
    Type: Grant
    Filed: June 28, 2019
    Date of Patent: February 22, 2022
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Jun Qian, Purushottam Kumar, Adrien Lavoie, You Zhai, Jeremiah Baldwin, Sung Je Kim
  • Patent number: 11251025
    Abstract: In a processing device including a reaction container that receives a gas flowing into the reaction container and performs a predetermined process in a treatment chamber, a member that communicates with an exhaust port at a portion in which a diffusion path of a sidewall or a bottom wall of the reaction container is formed, and an opening that is present between the member having the diffusion path and the reaction container to cause the diffusion path and a space of the treatment chamber to communicate, in order to avoid a shift of the gas in the treatment chamber, an opening area of the opening is made narrower as a position of the opening area is closer to the exhaust port.
    Type: Grant
    Filed: October 16, 2018
    Date of Patent: February 15, 2022
    Assignee: Tokyo Electron Limited
    Inventor: Jun Yamashita
  • Patent number: 11236424
    Abstract: Embodiments of process kits for us in a substrate processing chamber are provided herein. In some embodiments, a process kit for use in a substrate processing chamber includes an annular body configured to surround a substrate support and having an upper portion, a lower portion, and a central opening through the upper portion and the lower portion, wherein the upper portion includes sidewalls coupled to an upper flange that defines an outer diameter of the annular body, wherein the upper portion includes a plurality of first holes disposed through the sidewalls, and wherein the upper portion includes one or more heating elements; and a shield disposed about the annular body, wherein the shield includes an exhaust port fluidly connected to the plurality of first holes.
    Type: Grant
    Filed: November 1, 2019
    Date of Patent: February 1, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Muhannad Mustafa, Muhammad M Rasheed
  • Patent number: 11236421
    Abstract: An atomic layer deposition device for massively coating micro-nano particles, includes a reaction chamber and a particle container, in which an inlet port is provided at a lower end of the reaction chamber, and an inlet pipe for introducing a precursor or a carrier gas is provided in the inlet port; a chamber door is provided at an upper end of the reaction chamber, so that the particle container can be freely placed in or removed out of the reaction chamber; an air inlet hole is provided at a lower end of the particle container, and the inlet pipe enters the particle container through the air inlet hole.
    Type: Grant
    Filed: April 15, 2019
    Date of Patent: February 1, 2022
    Assignee: HUAZHONG UNIVERSITY OF SCIENCE AND TECHNOLOGY
    Inventors: Rong Chen, Jiawei Li, Bin Shan, Xiao Liu, Kai Qu, Jing Zhang
  • Patent number: 11220746
    Abstract: Embodiments of the present disclosure provide apparatuses for improving gas distribution during thermal processing. In one or more embodiments, an apparatus includes a body, an angled gas source assembly, and a gas injection channel. The gas injection channel has a first half-angle and a second half-angle. The first half-angle is different from the second half-angle. The use of an improved side gas assembly in a processing chamber to direct gas from the center toward the edge of the substrate advantageously controls growth uniformity throughout the substrate. Surprisingly, directing gas through a gas channel with non-uniform half-angles will significantly increase the reaction at or near the edge of the substrate, thereby leading to an improved overall thickness uniformity of the substrate.
    Type: Grant
    Filed: August 24, 2020
    Date of Patent: January 11, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventor: Eric Kihara Shono
  • Patent number: 11220748
    Abstract: A gas supply for a layer deposition apparatus including a plurality of charge distribution lines connected to a first gas supply source and a plurality of gas filling tanks respectively connected to the charge distribution lines is disclosed. Each of the gas filling tanks may be pressurized with a first gas from the first gas supply source, and a gas supply line connected to a second gas supply source. The apparatus may include a multi-dosing valve assembly connected to outlet portions of the gas filling tanks and configured to supply, sequentially, the first gas from the gas filling tanks to a process chamber. The multi-dosing valve assembly may include a flow path block having a main supply line connected to the process chamber and a backflow prevention valve block fastened to the flow path block and having an opening/closing valve therein.
    Type: Grant
    Filed: April 24, 2020
    Date of Patent: January 11, 2022
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Donghoon Han, Seoyoung Maeng, Byounghoon Ji, Minjoon Kim, Jongyong Bae, Kyuho Lee
  • Patent number: 11222796
    Abstract: Provided is a technique in which a heating-up time inside a process chamber is reduced. The technique includes a substrate processing apparatus including a process chamber where a substrate is processed, a substrate retainer configured to support the substrate in the process chamber, a process gas supply unit configured to supply a process gas into the process chamber, a first heater installed outside the process chamber and configured to heat an inside of the process chamber, a thermal insulating unit disposed under the substrate retainer, a second heater disposed in the thermal insulating unit and configured to heat the inside of the process chamber, and a purge gas supply unit configured to supply a purge gas into the thermal insulating unit to purge an inside of the thermal insulating unit.
    Type: Grant
    Filed: March 22, 2018
    Date of Patent: January 11, 2022
    Assignee: Kokusai Electric Corporation
    Inventors: Shuhei Saido, Hidenari Yoshida, Takatomo Yamaguchi, Takayuki Nakada, Tomoshi Taniyama
  • Patent number: 11220093
    Abstract: A high-speed lamination machine includes a hinged, clamshell lid, and clamped lamination chamber that uses localized and thermally isolated heating and stepper driven separation pin motion. Pneumatically actuated rollers in a track clamp the lamination chamber closed during operation. The clamshell design obviates the need for a hydraulic press and makes the lamination chamber easily accessible to other automated systems, so robots may be used to place lamination elements within the chamber.
    Type: Grant
    Filed: August 27, 2019
    Date of Patent: January 11, 2022
    Assignee: Rockwell Collins, Inc.
    Inventors: Cameron T. McCalley, Ryan N. Klaassen, James D. Sampica
  • Patent number: 11214867
    Abstract: A showerhead for vacuum deposition of several species, the showerhead being divided into several quarters containing each at least one outlet for the species, each of the quarter defining the wall of an underlying compartment containing at least one species, wherein two adjacent compartments contains different species. A process for vacuum deposition of one or more species onto a substrate, including providing a substrate for thin film growth in a growth chamber, providing two or more species to be effused towards the substrate, effusing the two or more species towards the substrate with line of sight propagation and in high vacuum conditions, and obtaining a thin film with gradients of chemical elements composition, morphology or crystalline phase.
    Type: Grant
    Filed: July 24, 2019
    Date of Patent: January 4, 2022
    Assignee: ABCD TECHNOLOGY SARL
    Inventors: Giacomo Benvenuti, Estelle Halary Wagner, Christian Petit
  • Patent number: 11217461
    Abstract: An object is to quickly regenerate metal ion removal capability of a substrate processing device. To achieve the object, a substrate processing device includes a processing unit, a supply tank and a collection tank. The processing unit performs etching processing on a substrate by using a treatment solution from a first circulation path. The used treatment solution is guided to the collection tank, and circulates in a second circulation path. The second circulation path includes a first partial pipe and a second partial pipe, and a metal removal coating including metal capturing groups for removing metal ions in the treatment solution is applied to an inner wall of the first partial pipe. An acid-based chemical solution is supplied to the first partial pipe from the acid-based chemical solution supply unit, so that metal adsorption force of the metal capturing groups is regenerated.
    Type: Grant
    Filed: July 27, 2017
    Date of Patent: January 4, 2022
    Assignee: SCREEN Holdings Co., Ltd.
    Inventor: Ayumi Higuchi
  • Patent number: 11208722
    Abstract: A device for performing ALD includes a housing having a vacuum chamber that surrounds a horizontal flow reactor. The device further includes a gas distribution system for delivering gases to the reactor. The gas distribution system includes at least one of a high temperature valve and a high temperature filter disposed inside the vacuum chamber. The high temperature valve (and/or filter) controls (and/or filters) a supply of a precursor/reactant gas, inert gas, or precursor/reactant and inert gas mixture before it enters the horizontal flow reactor.
    Type: Grant
    Filed: June 8, 2016
    Date of Patent: December 28, 2021
    Assignee: ASM IP HOLDING B.V.
    Inventors: Carl L. White, Eric Shero
  • Patent number: 11201071
    Abstract: The present invention relates to an apparatus for removing fume which includes, a wafer cassette for stacking wafers; and an exhaust for exhausting the fume of the wafers stacked in the wafer cassette, wherein the wafer cassette includes stacking shelves provided at both sides for stacking wafers; and a front opening for incoming and outgoing of the wafers which are being stacked in the stacking shelf, wherein the stacking shelves include multiple inclined ramp portions which are slanted towards the wafers stacked in the stacking shelves as they travel towards the front opening, wherein a purge gas outlet is provided in the inclined ramp portion for supplying purge gas for the wafers stacked in the stacking shelves. According to the present invention, the residual process gases on wafers can be removed efficiently.
    Type: Grant
    Filed: May 23, 2018
    Date of Patent: December 14, 2021
    Assignee: Bum Je Woo
    Inventor: Bum Je Woo
  • Patent number: 11186910
    Abstract: Provided are gas distribution apparatus with a delivery channel having an inlet end, an outlet end and a plurality of apertures spaced along the length. The delivery channel is separated into a plurality of zones by partitions. Each of the plurality of zones has an inlet and an outlet.
    Type: Grant
    Filed: September 12, 2019
    Date of Patent: November 30, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Muhannad Mustafa, Muhammad M. Rasheed
  • Patent number: 11189508
    Abstract: Embodiments described herein generally relate to an in-situ metrology system that can constantly provide an uninterrupted optical access to a substrate disposed within a process chamber. In one embodiment, a metrology system for a substrate processing chamber is provided. The metrology system includes a sensor view pipe coupling to a quartz dome of a substrate processing chamber, a flange extending radially from an outer surface of the sensor view pipe, and a viewport window disposed on the flange, the viewport window having spectral ranges chosen for an optical sensor that is disposed on or adjacent to the viewport window.
    Type: Grant
    Filed: September 24, 2019
    Date of Patent: November 30, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Ji-Dih Hu, Brian H. Burrows, Janardhan Devrajan, Schubert Chu
  • Patent number: 11189502
    Abstract: Gas distribution modules comprising a housing with an upper plenum and a lower plenum are described. One of the upper plenum and lower plenum is in fluid communication with an inlet and the other is in fluid communication with an outlet. A plurality of upper passages connects the upper plenum to the bottom of the housing to allow a flow of gas to pass through and be isolated from the first plenum.
    Type: Grant
    Filed: April 5, 2019
    Date of Patent: November 30, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Kallol Bera, Shahid Rauf, James Carducci, Vladimir Knyazik, Anantha K. Subramani
  • Patent number: 11189514
    Abstract: A substrate processing apparatus includes a transfer chamber row of transfer chambers arranged linearly, a processing chamber row of processing chambers arranged on one side or both sides of the transfer chamber row, a driving mechanism for rotating/extending/contracting a transfer arm of a substrate transfer mechanism in each transfer chamber, and a controller. A center of a substrate supporting region in the processing chamber is positioned closer to the transfer chamber row than a line connecting a rotation axis of the transfer arm and a center of a gate valve. Further, when loading and unloading a substrate between the processing chamber and the adjacent transfer chamber, the controller controls the driving mechanism such that a center of the substrate held by the transfer arm passes along an outer side of a line that connects a rotation axis of the transfer arm and a center of a substrate supporting region.
    Type: Grant
    Filed: July 21, 2020
    Date of Patent: November 30, 2021
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Takuya Umise
  • Patent number: 11183373
    Abstract: A sputtering chamber particle trap comprises first and second patterns formed on at least a portion of a surface of the particle trap. The first pattern includes one of: first indentations having a first depth and separated by first and second threads, and first ridges having a first height and separated by first and second grooves. The second pattern is formed on at least a portion of the first pattern and includes one of: second indentations having a second depth and separated by third and fourth threads, and second ridges having a second height and separated by third and fourth grooves. A method of forming a particle trap on a sputtering chamber component is also disclosed.
    Type: Grant
    Filed: October 2, 2018
    Date of Patent: November 23, 2021
    Assignee: Honeywell International Inc.
    Inventors: James L. Koch, Jacob C. Ruzicka
  • Patent number: 11183404
    Abstract: A diffuser for diffusing a gas includes a base portion and a head portion fluidly coupled to the base portion. The head portion includes a diffuser element configured to diffuse a first fraction of the gas through a circumference of the diffuser element and a second fraction of the gas through an end surface of the diffuser element. The head portion further includes a connecting structure having a first connecting portion configured to receive a portion of the diffuser element therein and a second connecting portion protruding outwardly from the first connecting portion and configured to couple to the base portion.
    Type: Grant
    Filed: April 26, 2019
    Date of Patent: November 23, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Sheng-chun Yang, Yi-Ming Lin, Chao-Hung Wan
  • Patent number: 11183418
    Abstract: Embodiments herein disclose a de-coupled substrate lift mechanism installation bracket and method of adjusting a plane of a lift pin hoop of the substrate lift mechanism. In one embodiment an apparatus for making adjustments about two remote axes includes a first adjustment mechanism and a second adjustment mechanism. The first adjustment mechanism includes a common member, one or more first side members, a connector member, and a plurality of first joints pivotably coupling the common member, the one or more first side members, and the connector member. The second adjustment mechanism includes a first body having the common member, one or more second side members, a first frame member, and a plurality of second joints pivotably coupling the common member, the one or more second side members, and the first frame member.
    Type: Grant
    Filed: May 29, 2018
    Date of Patent: November 23, 2021
    Assignee: Applied Materials, Inc.
    Inventor: Paul Forderhase
  • Patent number: 11182518
    Abstract: An apparatus for generating 3D shape data of a showerhead includes: a data processor that generates data sets comprising information indicating values of a first distance between an upper surface of a wafer and a showerhead, information indicating positions on the wafer and information about a fluid flow physical quantity value and determines a function representing a relationship among the various information; an input unit that receives condition data comprising a target fluid flow physical quantity value for each of the positions; and a database that stores information about the function. The data processor obtains information about a second distance, which has the target fluid flow physical quantity value, between the upper surface of the wafer and the showerhead at each of the positions, extracts spatial coordinate information of a lower surface of the showerhead, and generates 3D shape data of the showerhead using the spatial coordinate information.
    Type: Grant
    Filed: September 11, 2018
    Date of Patent: November 23, 2021
    Inventors: Sang Yub Ie, Jung Geun Jee, Sung Youn Chung, Jae Myung Choe
  • Patent number: 11174553
    Abstract: Gas injector inserts having a wedge-shaped housing, at least one first slot and at least one second slot are described. The housing has a first opening in the back face that is in fluid communication with the first slot in the front face and a second opening in the back face that is in fluid communication with the second slot in the front face. Each of the first slot and the second slot has an elongate axis that extends from the inner peripheral end to the outer peripheral end of the housing. The gas injector insert is configured to provide a flow of gas through the first slots at supersonic velocity. Gas distribution assemblies and processing chambers including the gas injector inserts are described.
    Type: Grant
    Filed: June 18, 2019
    Date of Patent: November 16, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Kenneth Brian Doering, Mario D. Silvetti, Kevin Griffin
  • Patent number: 11173525
    Abstract: Vessels can be become fouled due to normal operation thereof, for example, during lignocellulosic biomass hydrolysis, and the vessel will become inoperable unless the fouling is removed from the vessel. Accordingly, methods are disclosed herein for removing fouling substances from the interior surfaces of fouled pressurized vessels. The methods utilize a brief rapid change of pressure in the vessel. In some embodiments, the rapid pressure change is a decrease, and the rapid pressure change causes, for example, increased velocity of the fluid flowing in the vessel, flashing of a portion of the fluid to vapor, and removal of the fouling substance adhered to the interior surface of the vessel.
    Type: Grant
    Filed: July 27, 2017
    Date of Patent: November 16, 2021
    Assignee: Renmatix, Inc.
    Inventors: Daniel Clay Floyd, Todd Michael Mclarty, Frederick John Moesler, Charles Sebastian Sanderson
  • Patent number: 11171008
    Abstract: Embodiments of the present invention provide a dual load lock chamber capable of processing a substrate. In one embodiment, the dual load lock chamber includes a chamber body defining a first chamber volume and a second chamber volume isolated from one another. Each of the lower and second chamber volumes is selectively connectable to two processing environments through two openings configured for substrate transferring. The dual load lock chamber also includes a heated substrate support assembly disposed in the second chamber volume. The heated substrate support assembly is configured to support and heat a substrate thereon. The dual load lock chamber also includes a remote plasma source connected to the second chamber volume for supplying a plasma to the second chamber volume.
    Type: Grant
    Filed: December 17, 2019
    Date of Patent: November 9, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Jared Ahmad Lee, Martin Jeffrey Salinas, Paul B. Reuter, Imad Yousif, Aniruddha Pal
  • Patent number: 11169547
    Abstract: Gas distribution apparatus to provide uniform flows of gases from a single source to multiple processing chambers are described. A regulator is positioned at an upstream end of a shared volume having a plurality of downstream ends. A flow controller is positioned at each downstream end of the shared volume, the flow controller comprising an orifice and a fast pulsing valve. Methods of using the gas distribution apparatus and calibrating the flow controllers are also described.
    Type: Grant
    Filed: April 27, 2019
    Date of Patent: November 9, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Michael Rice, Joseph AuBuchon, Sanjeev Baluja, Ashley M. Okada, Alexander Fernandez, Ming Xu, Marcel E. Josephson, Sushant Suresh Koshti, Kenneth Le, Kevin M. Brashear
  • Patent number: 11149347
    Abstract: The present invention relates to a process for cleaning chambers of apparatus used for semiconductor manufacturing with a gas mixture comprising or consisting of fluorine, nitrogen and argon as well as said gas mixtures.
    Type: Grant
    Filed: May 4, 2016
    Date of Patent: October 19, 2021
    Assignees: Solvay SA, FRAUNHOFER-GESELLSCHAFT ZUR FÖRDERUNG DER ANGEW ANDTEN FORSCHUNG E.V.
    Inventors: Michael Pittroff, Robert Wieland, Jamila Boudaden
  • Patent number: 11139173
    Abstract: A production method of a semiconductor device includes introducing a reduction gas for reducing metal to a space containing a target to be used as the semiconductor device. The method also includes introducing a material gas and a first gas simultaneously to the space on a basis of a predetermined partial pressure ratio after introducing the reduction gas, to form a film that contains the metal, on the target. The material gas etches the metal when only the material gas is flowed. The first gas is different from the material gas. The predetermined partial pressure ratio is a ratio of the material gas and the first gas.
    Type: Grant
    Filed: July 10, 2018
    Date of Patent: October 5, 2021
    Assignee: TOSHIBA MEMORY CORPORATION
    Inventors: Katsuaki Natori, Satoshi Wakatsuki, Masayuki Kitamura
  • Patent number: 11139152
    Abstract: The inventive concept relates to an apparatus for processing a substrate. The substrate processing apparatus includes a scatter that is disposed over a baffle and that separates plasma and impurities. The scatter includes a plate having a first opening formed in a central area thereof when viewed from above and a collision block that is disposed over the first opening to face the first opening and that collides with plasma supplied from a plasma generation unit and impurities.
    Type: Grant
    Filed: October 9, 2019
    Date of Patent: October 5, 2021
    Assignee: PSK INC.
    Inventor: Hung Sheng Wang
  • Patent number: 11136670
    Abstract: A gas spraying apparatus according to the embodiment of the present invention includes a spray part disposed and aligned on one side outside a substrate in the width direction of the substrate, and having a plurality of nozzles for spraying gas toward the substrate, and a spray control unit for automatically controlling whether or not each of a plurality of nozzles sprays gas such that a gas density distribution type in the width direction of the substrate becomes a targeted gas density distribution type by the gas sprayed through the plurality of nozzles. Therefore, according to the embodiment of the present invention, it is easy to carry out the process with a plurality of types of process types or a plurality of types of gas density distribution types, and a time for adjusting the open or close operation of the plurality of nozzles can be shortened.
    Type: Grant
    Filed: January 12, 2018
    Date of Patent: October 5, 2021
    Inventors: Sang Hyun Ji, Chang Kyo Kim
  • Patent number: 11130142
    Abstract: Embodiments of showerheads having a detachable gas distribution plate are provided herein. In some embodiments, a showerhead for use in a substrate processing chamber includes a body having a first side and an opposing second side; a gas distribution plate disposed proximate the second side of the body; and a clamp disposed about a peripheral edge of the gas distribution plate to removably couple the gas distribution plate to the body, wherein the body is electrically coupled to the gas distribution plate through the clamp.
    Type: Grant
    Filed: April 10, 2020
    Date of Patent: September 28, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Dmitry Lubomirsky, Vladimir Knyazik, Hamid Noorbakhsh, Jason Della Rosa, Zheng John Ye, Jennifer Y. Sun, Sumanth Banda
  • Patent number: 11124873
    Abstract: A substrate processing apparatus including a transfer chamber; upper gas supply mechanism that supplies a gas into an upper region of the transfer chamber through a first gas supply port; and lower gas supply mechanism that supplies the gas into a lower region of the transfer chamber through a second gas supply port. The upper gas supply mechanism includes a first buffer chamber disposed at a back surface of the first gas supply port; a pair of upper ducts disposed at both sides of the first buffer chamber; and a first ventilation unit disposed at lower ends of the pair of upper ducts. The lower gas supply mechanism includes a second buffer chamber disposed at a back surface of the second gas supply port; a lower duct disposed at lower surface of the second buffer chamber; and a second ventilation unit disposed at a lower end of the lower duct.
    Type: Grant
    Filed: December 30, 2019
    Date of Patent: September 21, 2021
    Assignee: Kokusai Electric Corporation
    Inventors: Takayuki Nakada, Tomoshi Taniyama, Kenji Shirako
  • Patent number: 11118262
    Abstract: A substrate processing apparatus includes a chamber, a manifold including a tubular portion above the chamber, first and second introduction pipes provided on a side surface of the tubular portion, and a gas guide portion to guide, in a direction opposite the chamber, gases introduced from the first and second introduction pipes into the tubular portion, and then introduce the gases into the chamber. The gas guide portion does not contact a top of the manifold, and the manifold includes a space above the gas guide portion to allow the gases to flow from between the gas guide portion and the tubular portion into a space surrounded by the gas guide portion. The gas guide portion advantageously enables the gases to broadly diffuse and uniformly mix, increasing the quality of a film formed on a substrate inside the chamber.
    Type: Grant
    Filed: October 11, 2018
    Date of Patent: September 14, 2021
    Assignee: ASM IP Holding B.V.
    Inventors: Naoto Tsuji, Masaki Hirayama
  • Patent number: 11110425
    Abstract: Apparatus and methods for providing high velocity gas flow showerheads for deposition chambers are described. The showerhead has a faceplate in contact with a backing plate that has a concave portion to provide a plenum between the backing plate and the faceplate. A plurality of thermal elements is within the concave portion of the backing plate and extends to contact the faceplate.
    Type: Grant
    Filed: July 26, 2019
    Date of Patent: September 7, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Jared Ahmad Lee, Sanjeev Baluja, Joseph AuBuchon, Dhritiman Subha Kashyap, Michael Rice
  • Patent number: 11111581
    Abstract: A method for operating a substrate processing system includes delivering precursor gas to a chamber using a showerhead that includes a head portion and a stem portion. The head portion includes an upper surface, a sidewall, a lower planar surface, and a cylindrical cavity and extends radially outwardly from one end of the stem portion towards sidewalls of the chamber. The showerhead is connected, using a collar, to an upper surface of the chamber. The collar is arranged around the stem portion. Process gas is flowed into the cylindrical cavity via the stem portion and through a plurality of holes in the lower planar surface to distribute the process gas into the chamber. A purge gas is supplied through slots of the collar into a cavity defined between the head portion and an upper surface of the chamber.
    Type: Grant
    Filed: May 13, 2019
    Date of Patent: September 7, 2021
    Assignee: Lam Research Corporation
    Inventors: Chunguang Xia, Ramesh Chandrasekharan, Douglas Keil, Edward J. Augustyniak, Karl Frederick Leeser
  • Patent number: 11104996
    Abstract: A heating stage includes a pedestal and a thermal-conductive plate. The thermal-conductive plate is located on the pedestal. The thermal-conductive plate has a central portion and an edge portion surrounding the central portion, and the edge portion comprises a first portion and a second portion connected to the first portion, where the first portion is sandwiched between the central portion and the second portion. A height of the second portion gradually decreases along a direction from the central portion toward the edge portion.
    Type: Grant
    Filed: November 27, 2018
    Date of Patent: August 31, 2021
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: P. Y. Chiou, Chih-Chun Huang, Ping-Ting Shen, L. S. Huang
  • Patent number: 11107698
    Abstract: An oxygen concentration is lowered in accordance with a set lowering process, and thereafter a heat treatment is performed. Accordingly, the heat treatment is performed to a substrate W while the oxygen concentration in a heat treating space HS is lowered. Consequently, a treatment atmosphere within the heat treating space is able to be made suitable for a heat treatment process, leading to appropriate film deposition. In addition, the oxygen concentration is lowered in accordance with a concentration level in recipes. This avoids an excessively lowered oxygen concentration, leading to prevention of reduced throughput.
    Type: Grant
    Filed: October 24, 2017
    Date of Patent: August 31, 2021
    Inventors: Chisayo Nakayama, Yuji Tanaka, Masahiko Harumoto, Masaya Asai, Yasuhiro Fukumoto, Tomohiro Matsuo, Takeharu Ishii
  • Patent number: 11101112
    Abstract: A plasma processing device has a chamber that can be depressurized, a plasma source to generate plasma in the chamber, a stage in the chamber on which the conveyance carrier is placed, and a cover on the stage to cover a holding sheet and a frame and including a window portion penetrating a thickness direction. The cover includes an introduction port, a discharge port, and a coolant flow path connecting the introduction port and the discharge port and not overlapping with a region on an inner side of the frame in plan view. The stage includes a supply port communicated with the introduction port to allow supply of coolant to the coolant flow path when the cover is on the stage, and a recovery port communicated with the discharge port to allow recovery of coolant supplied to the coolant flow path when the cover is on the stage.
    Type: Grant
    Filed: August 28, 2018
    Date of Patent: August 24, 2021
    Assignee: PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
    Inventor: Shogo Okita
  • Patent number: 11090696
    Abstract: A method includes introducing ozone toward a photoresist layer over a substrate. The ozone is decomposed into dioxygen and first atomic oxygen. The dioxygen is decomposed into second atomic oxygen. The first atomic oxygen and the second atomic oxygen are reacted with the photoresist layer. An apparatus that performs the method is also disclosed.
    Type: Grant
    Filed: November 25, 2019
    Date of Patent: August 17, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Jui-Chuan Chang, Shao-Yen Ku, Wen-Chang Tsai, Shang-Yuan Yu, Chien-Wen Hsiao, Fan-Yi Hsu
  • Patent number: 11091834
    Abstract: A film deposition apparatus includes a body formed with openings and cavity, a spray assembly, and a gas assembly. The spray assembly sprays a precursor stream into the cavity for forming a film on a substrate. The gas assembly injects one or more gases into the cavity through the openings to shape the precursor stream and improve directionality and utilization of the precursor stream. The film deposition apparatus can operate with one or more plasma generators to form a laminated film on the substrate. The laminated film may have three layers of film: a first film formed through reaction of a first precursor with plasma, a second film being a composite of the first precursor and a second precursor, and a third film formed through sonification of the second precursor on top of the second film. The second precursor can infiltrate into the first film and fill defects therein.
    Type: Grant
    Filed: March 21, 2019
    Date of Patent: August 17, 2021
    Assignee: NOVA ENGINEERING FILMS, INC.
    Inventor: Sang In Lee
  • Patent number: 11088324
    Abstract: Methods and devices for controlling pressures in microenvironments between a deposition apparatus and a substrate are provided. Each microenvironment is associated with an aperture of the deposition apparatus which can allow for control of the microenvironment.
    Type: Grant
    Filed: January 22, 2020
    Date of Patent: August 10, 2021
    Assignee: Universal Display Corporation
    Inventors: William E. Quinn, Siddharth Harikrishna Mohan, Gregory McGraw, Xin Xu
  • Patent number: 11081322
    Abstract: A film forming apparatus 1 includes a plasma generating mechanism 47 commonly used for plasmarizing a processing gas and a cleaning gas supplied into a processing vessel 11 in which a vacuum atmosphere is formed; an exhaust device 17 configured to evacuate an exhaust line 61 connected to a processing gas discharge unit 43 while the plasmarization of the cleaning gas is being performed by the plasma generating mechanism 47; a tank 62 provided at the exhaust line 61; and a valve V2 which is provided at the exhaust line 61 between the tank 62 and the processing gas discharge unit 43. The valve V2 is configured to be closed to reduce an internal pressure of the tank 62 and opened to attract the plasmarized cleaning gas into the tank 62 from a processing space 40 through the processing gas discharge unit 43.
    Type: Grant
    Filed: October 13, 2017
    Date of Patent: August 3, 2021
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Naotaka Noro, Toshio Hasegawa, Tamaki Takeyama, Shinya Iwashita, Katsuhito Hirose
  • Patent number: 11078568
    Abstract: The present disclosure relates to pumping devices, components thereof, and methods associated therewith for substrate processing chambers. In one example, a pumping ring for substrate processing chambers includes a body. The body includes an upper wall, a lower wall, an inner radial wall, and an outer radial wall. The pumping ring also includes an annulus defined by the upper wall, the lower wall, the inner radial wall, and the outer radial wall. The pumping ring also includes a first exhaust port in the body that is fluidly coupled to the annulus, and a second exhaust port in the body that is fluidly coupled to the annulus. The pumping ring also includes a first baffle disposed in the annulus adjacent to the first exhaust port, and a second baffle disposed in the annulus adjacent to the second exhaust port.
    Type: Grant
    Filed: November 15, 2019
    Date of Patent: August 3, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Kalyanjit Ghosh, David Blahnik, Amit Kumar Bansal, Tuan Anh Nguyen
  • Patent number: 11075100
    Abstract: The present invention relates to a container for storing a wafer, particularly to a container for storing a wafer in which a plurality of purging areas is vertically partitioned in the interior of a storage chamber, and a purge gas is sprayed into the plurality of purging areas, thereby allowing not only uniform purging of the wafer to be assured but also efficient purging of the wafer without waste of the purge gas to be achieved.
    Type: Grant
    Filed: June 26, 2017
    Date of Patent: July 27, 2021
    Assignees: PICO & TERA CO., LTD.
    Inventors: Bum Je Woo, Seok Mun Yoon, Jang Heo, Young Chul Kim
  • Patent number: 11075060
    Abstract: Disclosed is an apparatus for processing substrate which prevents a plasma discharge from being transferred to a substrate so as to minimize damages on the substrate and also minimize deterioration in quality of a thin film deposited on the substrate, wherein the apparatus may include a process chamber for providing a reaction space, and a gas distribution module for dissociating processing gas by the use of plasma, and distributing the dissociated processing gas onto a substrate, wherein the gas distribution module may include a lower frame having a plurality of electrode inserting portions, an upper frame having a plurality of protruding electrodes and processing gas distribution holes, and an insulating plate having a plurality of electrode penetrating portions.
    Type: Grant
    Filed: December 26, 2013
    Date of Patent: July 27, 2021
    Assignee: Jusung Engineering Co., Ltd.
    Inventors: Eun Geu Ha, Sung Kook Kim, Hyun O Kim, Il Young Park
  • Patent number: RE48871
    Abstract: A method and apparatus for depositing thin films onto a substrate is provided. The apparatus includes a gas injection structure that is positioned within a reaction chamber that has a platform. The gas injection structure may be positioned above or below the platform and comprises a first gas injector and a second gas injector. The first gas injector is in fluid communication with a first reactant source and a purge gas source. Similarly, the second gas injector is in fluid communication with a second reactant source and a purge gas source. The first and second injectors include hollow tubes with apertures opening to the reaction chamber. In one configuration, the tubes are in the form of interleaved branching tubes forming showerhead rakes. Methods are provided for deposition, in which multiple pulses of purge and reactant gases are provided for each purge and reactant step.
    Type: Grant
    Filed: March 30, 2020
    Date of Patent: January 4, 2022
    Assignee: ASM IP HOLDING B.V.
    Inventors: Pekka J. Soininen, Sven Lindfors