Moving Work Support Patents (Class 118/729)
  • Publication number: 20150047567
    Abstract: A film-forming apparatus forms a film by sequentially supplying a plurality of kinds of reaction gases to a substrate placed between a placing unit and a ceiling plate in a processing chamber having vacuum atmosphere and supplying a replacement gas between supply of one reaction gas and supply of next reaction gas. A central gas ejecting unit is disposed above the central portion of the substrate, and includes gas ejecting ports formed therein to spread the gases toward the outer side in the horizontal direction. A peripheral gas supply unit is disposed to surround the central gas ejecting unit. The peripheral gas supply unit includes a plurality of gas ejecting ports, which is formed in the circumferential direction such that the gases are spread in the horizontal direction toward the outer circumferential side and the central side of the substrate in a plan view.
    Type: Application
    Filed: March 18, 2013
    Publication date: February 19, 2015
    Applicant: Tokyo Electron Limited
    Inventor: Tetsuya Saitou
  • Patent number: 8951347
    Abstract: A film deposition apparatus is provided with a gas nozzle in which ejection holes that eject a reaction gas are formed along a longitudinal direction of the gas nozzle, and a flow regulation member that protrudes from the gas nozzle in either one of upstream and downstream directions of a rotation direction of a turntable. In such a configuration, a separation gas flowing from an upstream side of the rotation direction to the gas nozzle is restricted from flowing between the gas nozzle and the turntable on which a substrate is placed, and the reaction gas flowing upward from the turntable is restricted by the separation gas, thereby impeding a reaction gas concentration in a process area from being lowered.
    Type: Grant
    Filed: November 13, 2009
    Date of Patent: February 10, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Hitoshi Kato, Yasushi Takeuchi
  • Patent number: 8951350
    Abstract: An apparatus deposits a coating on a part. The apparatus comprises a chamber and a sting assembly for carrying the part. The sting assembly is shiftable between: an inserted condition where the sting assembly holds the part within the chamber for coating; and a retracted condition where the sting assembly holds the part outside of the chamber. The apparatus comprises a source of the coating material positioned to communicate the coating material to the part in the inserted condition. The apparatus comprises a thermal hood comprising a first member and a second member. The second member is between the first member and the part when the part is in the inserted condition. The second member is carried by the sting assembly so as to retract with the sting assembly as the sting assembly is retracted from the inserted condition to the retracted condition.
    Type: Grant
    Filed: May 3, 2011
    Date of Patent: February 10, 2015
    Assignee: United Technologies Corporation
    Inventors: James W. Neal, Kevin W. Schlichting, Peter F. Gero
  • Patent number: 8944002
    Abstract: An infinitely variable physical vapor deposition matrix system that allows the synthesis of multiple combinatorial catalyst samples at essentially the same time, by the co-deposition of multiple materials, or the sequential layer by layer deposition of multiple catalyst constituents, or both, such that the optimum mix of materials for a pre-determined application can be experimentally determined in subsequent testing. The discovery of optimal catalyst combinations for utilization in specified reactions and devices is facilitated. The high throughput system reduces the time and complexity of processing typically required to formulate and test combinatorial catalyst materials.
    Type: Grant
    Filed: January 14, 2004
    Date of Patent: February 3, 2015
    Assignee: Honda Motor Co., Ltd.
    Inventors: Ting He, Eric R. Kreidler, Tadashi Nomura
  • Publication number: 20150030761
    Abstract: To provide an apparatus and process capable of continuously forming a fluorinated organosilicon compound thin film having high durability while a substrate is transported.
    Type: Application
    Filed: August 22, 2014
    Publication date: January 29, 2015
    Applicant: ASAHI GLASS COMPANY, LIMITED
    Inventors: Ryosuke KATO, Masao Miyamura, Tamotsu Morimoto
  • Patent number: 8940097
    Abstract: Provided is a vertical heat treatment apparatus which performs a film-forming process for substrates by supplying a film-forming gas to a plurality of substrates loaded onto a substrate supporter. The substrate supporter is rotated around an inclination axis, and the apparatus includes: a plurality of main holders which are provided at every reception position of the substrates in the substrate supporter and respectively supports the peripheries of the substrates at positions separated from each other in the circumferential direction; and first and second auxiliary holders which are located to be separated from the main holders in the circumferential direction and whose tops are lower than those of the main holders. Each substrate alternates between a position supported by the first auxiliary holder and the main holders and a position supported by the second auxiliary holder and the main holders every rotation of the substrate supporter.
    Type: Grant
    Filed: October 28, 2011
    Date of Patent: January 27, 2015
    Assignee: Tokyo Electron Limited
    Inventor: Kazuhide Hasebe
  • Patent number: 8936956
    Abstract: Provided are a substrate moving unit for use with a deposition apparatus that allows a deposition material to be precisely deposited on a target site of a substrate. The substrate moving unit includes an electrostatic chuck having a first surface on which a substrate is fixable and a magnetic force applying unit disposed on a second surface of the electrostatic chuck. A deposition apparatus including the substrate moving unit, a method of manufacturing an organic light-emitting display apparatus, and an organic light-emitting display apparatus manufactured by using the method are also presented.
    Type: Grant
    Filed: December 6, 2013
    Date of Patent: January 20, 2015
    Assignee: Samsung Display Co., Ltd.
    Inventor: Jeong-Won Han
  • Publication number: 20140366804
    Abstract: Embodiments relate to a deposition device for depositing one or more layers of material on a substrate using scanning modules that move across the substrate in a chamber filled with reactant precursor. The substrate remains stationary during the process of depositing the one or more layers of material. A chamber enclosing the substrate is filled with reactant precursor to expose the substrate to the reactant precursor. As the scanning modules move across the substrate, the scanning modules remove the reactant precursor in their path and/or revert the reactant precursor to an inactive state. The scanning modules also inject source precursor onto the substrate as the scanning modules move across the substrate.
    Type: Application
    Filed: June 6, 2014
    Publication date: December 18, 2014
    Inventors: Samuel S. Pak, Hyoseok Daniel Yang, Sang In Lee
  • Patent number: 8911554
    Abstract: A method and apparatus for processing multiple substrates simultaneously is provided. Each substrate may have two major active surfaces to be processed. The apparatus has a substrate handling module and a substrate processing module. The substrate handling module has a loader assembly, a flipper assembly, and a factory interface. Substrates are disposed on a substrate carrier at the loader assembly. The flipper assembly is used to flip all the substrates on a substrate carrier in the event two-sided processing is required. The factory interface positions substrate carriers holding substrates for entry into and exit from the substrate processing module. The substrate processing module comprises a load-lock, a transfer chamber, and a plurality of processing chambers, each configured to process multiple substrates disposed on a substrate carrier.
    Type: Grant
    Filed: January 4, 2011
    Date of Patent: December 16, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Steven Verhaverbeke, Jose Antonio Marin
  • Patent number: 8907253
    Abstract: In a method for producing a I-III-VI compound semiconductor layer, a substrate is provided with a coating which has a metallic precursor layer. The coating is kept, for the duration of a process time, at temperatures of at least 350 degrees C. and the metallic precursor layer, in the presence of a chalcogen at an ambient pressure of between 500 mbar and 1500 mbar, is converted into a compound semiconductor layer. The coating is kept at temperatures for the duration of an activation time which attain at least an activation barrier temperature, whereby as the activation barrier temperature a value of at least 600° C. is selected.
    Type: Grant
    Filed: October 27, 2010
    Date of Patent: December 9, 2014
    Assignee: Centrotherm Photovoltaics AG
    Inventors: Immo Koetschau, Dieter Schmid
  • Patent number: 8900404
    Abstract: A plasma processing system with improved component temperature control is disclosed. The system may include a plasma processing chamber having a chamber wall. The system may also include an electrode disposed inside the plasma processing chamber. The system may also include a support member disposed inside the plasma processing chamber for supporting the electrode. The system may also include a support plate disposed outside the chamber wall. The system may also include a cantilever disposed through the chamber wall for coupling the support member with the support plate. The system may also include a lift plate disposed between the chamber wall and the support plate. The system may also include thermally resistive coupling mechanisms for mechanically coupling the lift plate with the support plate.
    Type: Grant
    Filed: May 19, 2009
    Date of Patent: December 2, 2014
    Assignee: Lam Research Corporation
    Inventor: James Tappan
  • Publication number: 20140349469
    Abstract: This disclosure provides systems, methods and apparatus for processing multiple substrates in a processing tool. An apparatus for processing substrates can include a process chamber, a common reactant source, and a common exhaust pump. The process chamber can be configured to process multiple substrates. The process chamber can include a plurality of stacked individual subchambers. Each subchamber can be configured to process one substrate. The common reactant source can be configured to provide reactant to each of the subchambers in parallel. The common exhaust pump can be connected to each of the subchambers.
    Type: Application
    Filed: May 22, 2013
    Publication date: November 27, 2014
    Applicant: QUALCOMM MEMS TECHNOLOGIES, INC.
    Inventors: Teruo Sasagawa, Sandeep K. Giri, Ana R. Londergan, Shih-chou Chiang
  • Publication number: 20140345801
    Abstract: Provided is a substrate processing apparatus. The substrate processing apparatus in which processes with respect to substrates are performed includes a lower chamber having an opened upper side, the lower chamber including a passage allowing the substrates to pass therethrough in a side thereof, an external reaction tube closing the opened upper side of the lower chamber to provide a process space in which the processes are performed, a substrate holder on which the one ore more substrates are vertically stacked, the substrate holder being movable between a stacking position in which the substrates are stacked within the substrate holder and a process position in which the processes with respect to the substrates are performed, and a gas supply unit disposed inside the external reaction tube to supply a reaction gas into the process space, the gas supply unit forming a flow of the reaction gas having different phase differences in a vertical direction.
    Type: Application
    Filed: November 16, 2012
    Publication date: November 27, 2014
    Applicant: EUGENE TECHNOLOGY CO., LTD.
    Inventors: Il-Kwang Yang, Sung-Tae Je, Byoung-Gyu Song, Yong-Ki Kim, Kyong-Hun Kim, Yang-Sik Shin
  • Publication number: 20140345528
    Abstract: Provided is a substrate processing apparatus. The substrate processing apparatus in which a process with respect to substrates is performed includes a lower chamber having an opened upper portion, the lower chamber having a passage, through which the substrates are accessible, in a side thereof, an external reaction tube closing the opened upper portion of the lower chamber to provide a process space in which the process is performed, a substrate holder on which the one or more substrates are vertically stacked, the substrate holder being movable between a stacking position at which the substrates are stacked within the substrate holder and a process position at which the process with respect to the substrates is performed, a gas supply unit supplying a reaction gas into the process space, and a processing unit disposed outside the external reaction tube to activate the reaction gas, thereby performing the process with respect to the substrates.
    Type: Application
    Filed: November 23, 2012
    Publication date: November 27, 2014
    Applicant: EUGENE TECHNOLOGY CO., LTD.
    Inventors: Il-Kwang Yang, Byoung-Gyu Song, Yong-ki Kim, Kyong-Hun Kim, Yang-Sik Shin
  • Publication number: 20140331933
    Abstract: Provided is a substrate processing apparatus. The substrate processing apparatus includes a chamber body having an opened upper side, the chamber body providing an inner space in which a process with respect to a substrate is performed, a chamber lid disposed on an upper portion of the chamber body to close the opened upper side of the chamber body, and a showerhead disposed on a lower portion of the chamber lid to supply a process gas toward the inner space. The chamber body includes at least one convergent port disposed along the inside of a sidewall of the chamber body to allow the process gas within the inner space to converge, a plurality of inner exhaust holes defined in along the sidewall of the chamber body to communicate with the convergent port and the inner space, and a plurality of inner exhaust ports connected to the convergent port.
    Type: Application
    Filed: November 23, 2012
    Publication date: November 13, 2014
    Applicant: EUGENE TECHNOLOGY CO., LTD.
    Inventors: Il-Kwang Yang, Byoung-Gyu Song, Kyong-Hun Kim, Yang-Sik Shin
  • Patent number: 8882918
    Abstract: A vapor deposition apparatus (50) includes: a mask unit (54) including a vapor deposition source (70), a vapor deposition mask (60), and a mask holding member (80); a substrate holder (52); and at least either a mask unit moving mechanism (55) or a substrate moving mechanism (53), with a roller (83) provided in a surface of one of (A) the substrate holder (52) and (B) the mask holding member (80) which faces the other one of (A) the substrate holder (52) and (B) the mask holding member (80).
    Type: Grant
    Filed: September 26, 2011
    Date of Patent: November 11, 2014
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Shinichi Kawato, Satoshi Inoue, Tohru Sonoda
  • Patent number: 8877553
    Abstract: The present invention generally comprises a floating slit valve for interfacing with a chamber. A floating slit valve moves or “floats” relative to another object such as a chamber. The slit valve may be coupled between two chambers. When a chamber coupled with the slit valve is heated, the slit valve may also be heated by conduction. As the slit valve is heated, it may thermally expand. When a vacuum is drawn in a chamber, the slit valve may deform due to vacuum deflection. By disposing a low friction material spacer between the chamber and the slit valve, the slit valve may not rub against the chamber during thermal expansion/contraction and/or vacuum deflection and thus, may not generate undesirable particle contaminants. Additionally, slots drilled through the chamber for coupling the slit valve to the chamber may be sized to accommodate thermal expansion/contraction and vacuum deflection of the slit valve.
    Type: Grant
    Filed: August 1, 2011
    Date of Patent: November 4, 2014
    Assignee: Applied Materials, Inc.
    Inventors: John M. White, Shinichi Kurita, Takayuki Matsumoto
  • Publication number: 20140318456
    Abstract: Disclosed is a horizontal-type atomic layer deposition apparatus for large-area substrates, in which a plurality of large-area substrates can be simultaneously subjected to an atomic layer deposition process in a state in which they are stacked in a horizontal position. The apparatus comprises: an outer chamber that is maintained in a vacuum state; an inner chamber provided in the outer chamber; a chamber cover configured to move upward and downward to open and close the bottom of the inner chamber; a cassette configured to move upward and downward with the chamber cover; a process gas injecting portion configured to inject a process gas into a space between a plurality of substrates loaded in the cassette; a gas discharge portion configured to suck and discharge the process gas; and a substrate introducing/discharging means configured to introduce the substrates into the outer chamber and discharge the substrates.
    Type: Application
    Filed: March 11, 2014
    Publication date: October 30, 2014
    Applicant: NCD CO., LTD.
    Inventors: Woong Chul SHIN, Kyu-Jeong CHOI, Min BAEK
  • Publication number: 20140315375
    Abstract: Provided is a substrate processing apparatus.
    Type: Application
    Filed: November 16, 2012
    Publication date: October 23, 2014
    Applicant: EUGENE TECHNOLOGY CO., LTD.
    Inventors: Il-Kwang Yang, Sung-Tae Je, Byoung-Gyu Song, Yong-Ki Kim, Kyong-Hun Kim, Yang-Sik Shin
  • Patent number: 8864936
    Abstract: There are provided an apparatus and method for processing a substrate. By using the apparatus and method, plasma processing can be individually performed on each of edge and rear regions of a substrate in a single chamber. The apparatus includes a chamber providing a reaction space; a stage installed in the chamber; a plasma shielding unit installed opposite to the stage in the chamber; a support unit for supporting a substrate between the stage and the plasma shielding unit; a first supply pipe provided at the stage to supply a reaction or non-reaction gas to one surface of the substrate; and second and third supply pipes provided at the plasma shielding unit, the second supply pipe supplying a reaction gas to the other surface of the substrate, the third supply pipe supplying a non-reaction gas to the other surface.
    Type: Grant
    Filed: December 10, 2008
    Date of Patent: October 21, 2014
    Assignee: Charm Engineering Co., Ltd.
    Inventors: Young Ki Han, Young Soo Seo
  • Patent number: 8858716
    Abstract: In a vacuum processing apparatus, a substrate chuck mechanism member is attached to a substrate holder provided in a vacuum processing chamber, includes a shaft member, first and second coil springs that are provided at the two ends, respectively, of the shaft member, and a substrate chuck plate provided at the end of the shaft member, and is additionally attached to the substrate holder using the substrate chuck plate by elastic biasing of the first coil spring. The holding state of the substrate on the substrate holder is changed by the expansion/contraction actions of the first and second coil springs in accordance with the reciprocal movement of the substrate holder.
    Type: Grant
    Filed: December 21, 2010
    Date of Patent: October 14, 2014
    Assignee: Canon Anelva Corporation
    Inventors: Fumiaki Hoshino, Hajime Hiraiwa, Katsuhiko Miura
  • Patent number: 8858715
    Abstract: The invention relates to a deposition device for comprising a processing space with a substrate support disposed therein, as well as several lift pins (50), which can be moved into and out of the plane of the substrate support to assist in introducing a semiconductor substrate into the processing space and removing it therefrom. The device is characterized in that the contact surface (52) of the lift pin (50) that is to be brought into contact with the semiconductor substrate and/or the substrate support is provided with a material layer (54) which has a lower hardness than the semiconductor substrate and/or the substrate support. This eliminates the risk of damage being caused to the substrate and/or to the substrate support as a result of said substrate shifting undesirably upon being lifted from and lowered onto the substrate support (susceptor). Thus there is no risk of scratches being formed and of particles being released, which might adversely affect the semiconductor manufacturing process.
    Type: Grant
    Filed: September 19, 2008
    Date of Patent: October 14, 2014
    Assignee: XYCarb Ceramics B.V.
    Inventors: Marcus Gerardus Van Munster, Charles Petronella Marie Buijs, Age Leijenaar
  • Patent number: 8859042
    Abstract: Embodiments of the invention generally relate to methods for chemical vapor deposition (CVD) processes. In one embodiment, a method for heating a substrate or a substrate susceptor within a vapor deposition reactor system includes exposing a lower surface of a substrate susceptor, such as a wafer carrier, to energy emitted from a heating lamp assembly, and heating the substrate susceptor to a predetermined temperature. The heating lamp assembly generally contains a lamp housing disposed on an upper surface of a support base and contains at least one lamp holder, a plurality of lamps extending from the lamp holder, and a reflector disposed on the upper surface of the support base, next to the lamp holder, and below the lamps. The plurality of lamps may have split filament lamps and/or non-split filament lamps for heating inner and outer portions of the substrate susceptor.
    Type: Grant
    Filed: March 16, 2010
    Date of Patent: October 14, 2014
    Assignee: Alta Devices, Inc.
    Inventors: Gang He, Gregg Higashi, Khurshed Sorabji, Roger Hamamjy, Andreas Hegedus
  • Publication number: 20140295615
    Abstract: Systems and methods are provided for depositing thin patterned films of materials in which individual elements of the patterned film are deposited by two or more nozzles having different geometries. The different nozzle geometries may include one or more of different throttle diameters, different exhaust diameters, different cross-sectional shapes, different bore angles, different wall angles, different exhaust distances from the substrate, and different leading edges relative to the direction of movement of the nozzles or the substrate. Methods may include steps of ejecting a carrier gas and a material from a plurality of nozzles and depositing the material on a substrate in a plurality of laterally spaced elements.
    Type: Application
    Filed: April 7, 2014
    Publication date: October 2, 2014
    Applicant: Universal Display Corporation
    Inventors: Siddharth Harikrishna MOHAN, Paul E. BURROWS
  • Patent number: 8845857
    Abstract: A substrate processing apparatus includes a vacuum container, a rotary table to rotate in the vacuum container, a substrate placement member mounted on the rotary table in a detachable manner, the substrate placement member and the rotary table together providing a recess in which a substrate is placed on an upper side of the rotary table, and the substrate placement member constituting a bottom surface in the recess on which the substrate is placed, a position regulating unit provided at least one of the rotary table and the substrate placement member to regulate a movement of the substrate caused by a centrifugal force during rotation of the rotary table, a reactant gas supply unit to supply reactant gas to the upper side of the rotary table, and a vacuum exhaust unit to exhaust the vacuum container.
    Type: Grant
    Filed: November 29, 2010
    Date of Patent: September 30, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Yukio Ohizumi, Manabu Honma
  • Patent number: 8845810
    Abstract: A substrate damage prevention system and method for a plasma treating apparatus are provided. The system may include a lower electrode on which a substrate may be mounted, an inert gas supply unit which may supply an inert gas to an upper surface of the lower electrode on which the substrate is mounted, and an air supply unit which may supply air to the upper surface of the lower electrode. An inert gas may be supplied between the lower electrode and the substrate in order to control the temperature of the substrate during the chucking. Air may be supplied between the lower electrode and the substrate during dechucking in order to allow the substrate to be easily separated from the lower electrode.
    Type: Grant
    Filed: October 18, 2007
    Date of Patent: September 30, 2014
    Assignee: ADP Engineering Co., Ltd.
    Inventor: Young Joo Hwang
  • Patent number: 8840728
    Abstract: The present invention is a template treatment apparatus forming a film of a release agent on a template having a transfer pattern formed on a front surface thereof, the template treatment apparatus including: a treatment station forming a film of a release agent on the front surface of the template; and a template carry-in/out station capable of keeping a plurality of the templates, and carrying the template into/out of the treatment station, wherein the treatment station includes: a cleaning unit cleaning the front surface of the template; a coating unit applying a release agent to the cleaned front surface of the template; a heating unit baking the applied release agent; and a carry unit carrying the template to the cleaning unit, the coating unit, and the heating unit.
    Type: Grant
    Filed: June 21, 2010
    Date of Patent: September 23, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Shoichi Terada, Yoshio Kimura, Takahiro Kitano
  • Patent number: 8840726
    Abstract: An apparatus 101 for depositing a thin-film onto a surface of a substrate 113 using precursor gases G1, G2 is disclosed. The apparatus 101 comprises i) a supporting device 111 for holding the substrate 113; and ii) a spinner 105 positioned adjacent to the supporting device 111. Specifically, the spinner 105 includes a hub 106 for connecting to a motor, and one or more blades 201 connected to the hub 106. In particular, the one or more blades 201 are operative to rotate around the hub 106 on a plane to drive a fluid flow of the precursor gases G1, G2, so as to distribute the precursor gases G1, G2 across the surface of the substrate 113.
    Type: Grant
    Filed: June 8, 2011
    Date of Patent: September 23, 2014
    Assignee: ASM Technology Singapore Pte Ltd
    Inventors: Zilan Li, Teng Hock Kuah, Jiapei Ding, Ravindra Raghavendra
  • Publication number: 20140261186
    Abstract: Provided is a method of manufacturing a memory device having a 3-dimensional structure, which includes alternately stacking one or more dielectric layers and one or more sacrificial layers on a substrate, forming a through hole passing through the dielectric layers and the sacrificial layers, forming a pattern filling the through hole, forming an opening passing through the dielectric layers and the sacrificial layers, and supplying an etchant through the opening to remove the sacrificial layers. The stacking of the dielectric layers includes supplying the substrate with one or more gases selected from the group consisting of SiH4, Si2H6, Si3H8, and Si4H10, to deposit a silicon oxide layer. The stacking of the sacrificial layers includes supplying the substrate with one or more gases selected from the group consisting of SiH4, Si2H6, Si3H8, Si4H10, and dichloro silane (SiCl2H2), and ammonia-based gas, to deposit a silicon nitride layer.
    Type: Application
    Filed: February 25, 2014
    Publication date: September 18, 2014
    Applicant: EUGENE TECHNOLOGY CO., LTD.
    Inventors: Sung Kil CHO, Hai Won KIM, Sang Ho WOO, Seung Woo SHIN, Gil Sun JANG, Wan Suk OH
  • Patent number: 8837924
    Abstract: The present invention provides a vacuum heating/cooling apparatus capable of rapidly heating and also rapidly cooling only a substrate while a high vacuum degree is maintained after film-formation processing. The vacuum heating/cooling apparatus according to an embodiment of the present invention includes a vacuum chamber (1), a halogen lamp (2) which emits heating light, a quartz window (3) for allowing the heating light to enter the vacuum chamber (1), a substrate supporting base (9) having a cooling function, and a lift pin (13) which causes the substrate (5) to stand still at a heating position P3 and a cooling position P1 and moves the substrate (5) between the heating position P3 and the cooling position P1.
    Type: Grant
    Filed: November 30, 2011
    Date of Patent: September 16, 2014
    Assignee: Canon Anelva Corporation
    Inventors: Koji Tsunekawa, Yoshinori Nagamine, Shinji Furukawa
  • Patent number: 8828141
    Abstract: A substrate processing apparatus of the present invention comprises: a processing chamber for storing and processing substrates stacked in multiple stages in horizontal posture; a processing gas supply unit for supplying two or more types of the processing gases to the inside of the processing chamber; an inactive gas supply unit for supplying an inactive gas to the inside of the processing chamber; and an exhaust unit for exhausting an atmosphere of the inside of the processing chamber, wherein the processing gas supply unit has at least two processing gas supply nozzles which extend running along an inner wall of the processing chamber in the stacking direction of the substrates and supply the processing gas to the inside of the processing chamber, and the inactive gas supply unit has a pair of inactive gas supply nozzles which are provided so as to extend running along the inner wall of the processing chamber in the stacking direction of the substrates and so as to sandwich at least one processing gas supp
    Type: Grant
    Filed: February 20, 2009
    Date of Patent: September 9, 2014
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Masanori Sakai, Yuji Takebayashi, Tsutomu Kato, Shinya Sasaki, Hirohisa Yamazaki
  • Patent number: 8813677
    Abstract: A composite particulate preparing apparatus is provided that includes a rotating body receiving particulates to which an adhering material is allowed to adhere and having a bottom surface, a side wall and a flange part; a centrifugal machine rotating the rotating machine to apply centrifugal force to the particulates in the rotating body; an inclination varying device shifting the rotating body at an arbitrary inclination angle so that the bottom surface of the rotating body forms a vertical plane parallel to a gravitational direction from a horizontal plane perpendicular to the gravitational direction; and a stirring device disposed closer to a horizontal line perpendicular to a vertical line drawn from a rotational center of the rotating body in a gravitational direction on a rotational side where the particulates drop from an uppermost point, than to the vertical line.
    Type: Grant
    Filed: September 2, 2010
    Date of Patent: August 26, 2014
    Assignee: Sony Corporation
    Inventors: Go Sudo, Kenji Katori, Hayato Hommura
  • Publication number: 20140230732
    Abstract: A transporting apparatus for simultaneously transporting at least two substrates into a substrate-treatment apparatus to be treated in a vacuum-treatment apparatus has at least two carrier apparatuses mounted for rotation in relation to a common axis and offset axially in relation to one another. At least one retaining frame configured for supporting at least one substrate is arranged on each of the two carrier apparatuses. Each retaining frame is configured to be shifted, by rotary movement of the two carrier apparatuses about the common axis, into mutually opposite regions of a treatment unit with the two carrier apparatuses spaced apart axially from one another sufficiently such that the treatment unit is arranged between the two carrier apparatuses.
    Type: Application
    Filed: September 28, 2012
    Publication date: August 21, 2014
    Applicant: MANZ AG
    Inventors: Peter Rettenbacher, Thomas Merz, Stefan Kastl
  • Publication number: 20140220722
    Abstract: Provided are a method and an apparatus for manufacturing an organic EL device, which makes it possible to manufacture organic EL devices capable of suppressing quality degradation. The method for manufacturing an organic EL device, in which constituent layers of an organic EL element are formed by deposition over a substrate in the form of a strip, while the substrate is being moved in the longitudinal direction, includes a constituent layer-forming step of performing deposition over one surface of the substrate, while the substrate is being moved in the longitudinal direction, sequentially in first and second deposition units arranged along the moving direction of the substrate by discharging a vaporized material from an evaporation source. The constituent layer-forming step includes a plurality of upward deposition steps and a direction changing step.
    Type: Application
    Filed: October 17, 2012
    Publication date: August 7, 2014
    Applicant: NITTO DENKO CORPORATION
    Inventors: Ryohei Kakiuchi, Satoru Yamamoto, Kanako Hida
  • Patent number: 8771416
    Abstract: A substrate processing apparatus comprises: a reaction chamber to process a substrate; a heating target object disposed in the reaction chamber to surround at least a region where the substrate is disposed, the heating target object having a cylindrical shape with a closed end; an insulator disposed between the reaction chamber and the heating target object to surround the heating target object, the insulator having a cylindrical shape with a closed end facing the closed end of the heating target object; an induction heating unit disposed outside the reaction chamber to surround at least the region where the substrate is disposed; a first gas supply system to supply at least a source gas into the reaction chamber; and a controller to control the first gas supply system so that the first gas supply system supplies at least the source gas into the reaction chamber for processing the substrate.
    Type: Grant
    Filed: June 24, 2010
    Date of Patent: July 8, 2014
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Shuhei Saido, Takatomo Yamaguchi, Kenji Shirako
  • Patent number: 8771419
    Abstract: An evaporation tool for forming a dopant structure on a front surface of a continuous workpiece, wherein the front surface includes a precursor layer to form Group IBIIIAVIA absorbers for solar cells and the dopant structure is used to introduce dopants into the precursor layer. The tool includes at least a first vapor source station to deposit a Group VIA material, such as Se, and a second vapor station to deposit a dopant material, such as Na, onto the continuous workpiece. A moving assembly of the tool holds and moves the continuous workpiece within the tool by feeding the continuous workpiece from a first end and taking up from a second end of the tool. A support assembly of the tool contacts a back surface of the continuous workpiece to remove the heat from and apply tension to the continuous workpiece during the process.
    Type: Grant
    Filed: October 5, 2007
    Date of Patent: July 8, 2014
    Assignee: Solopower Systems, Inc.
    Inventors: Mustafa Pinarbasi, Bulent M. Basol
  • Patent number: 8771421
    Abstract: An apparatus is provided for vapor deposition of a sublimated source material as a thin film on discrete photovoltaic (PV) module substrates conveyed in a continuous non-stop manner through said apparatus. The apparatus includes a vapor deposition head configured for receipt and sublimation of a source material, and for distributing the sublimated source material onto an upper surface of substrates conveyed through a deposition area. A roll seal configuration is provided at each of an entry slot and an exit slot for the substrates conveyed through the apparatus. The roll seal configuration further includes a cylinder rotatably supported at a defined gap height above a conveyance plane of the substrates such that the cylinder is not in continuous rolling contact with the substrates within the window of finished active semiconductor material.
    Type: Grant
    Filed: December 23, 2010
    Date of Patent: July 8, 2014
    Assignee: First Solar, Inc.
    Inventor: Christopher Rathweg
  • Patent number: 8758513
    Abstract: A processing apparatus includes a loading chamber; a buffer chamber connected to the loading chamber; a first process chamber connected to the buffer chamber; and an unloading chamber connected to the first process chamber, wherein a processing path through the processing apparatus is a forward in-line path in a direction through the loading chamber, the buffer chamber, the first process chamber, and the unloading chamber.
    Type: Grant
    Filed: December 15, 2006
    Date of Patent: June 24, 2014
    Assignees: LG Display Co., Ltd., Avaco Co., Ltd., LG Electronics Inc.
    Inventors: Jin Seok Lee, Hyuk Sang Yoon, Hwan Kyu Yoo, Byeong Eok Hwang
  • Publication number: 20140165910
    Abstract: Disclosed is an apparatus for batch-type large-area atomic layer deposition, which can perform an atomic layer deposition process on a plurality of large-area glass substrates. The apparatus comprises: a vacuum chamber; gate valves provided at both sides of the vacuum chamber; a process gas supply unit provided in the upper portion of the vacuum chamber and configured to inject laminar-flow process gas downward; a gas discharge unit provided in the lower portion of the vacuum chamber and configured to discharge gas from the vacuum chamber; a cassette configured to load a plurality of substrates and disposed between the process gas supply unit and the gas discharge unit; and an elevating unit provided at the side of the gas discharge unit in the vacuum chamber and configured in the vacuum chamber to elevate the cassette so as to bring the cassette into close contact with the process gas supply unit.
    Type: Application
    Filed: January 24, 2014
    Publication date: June 19, 2014
    Applicant: NCD CO., LTD.
    Inventors: Woong Chul SHIN, Kyu-Jeong CHOI, Min BAEK, Nak-Jin SEONG
  • Patent number: 8747627
    Abstract: The invention relates to a method and to a device for reversing the feeding of a sputter coating system, particularly when coating a photovoltaic module, in clean rooms, having the following characteristics: a) a transport frame (11) for receiving a substrate wafer (19) of a photovoltaic module, b) a rotary device having means for mounting the transport frame (11), having means for rotating the transport frame (11), and having means for transporting the transport frame (11), c) means for precisely aligning the rotary device relative to the sputter coating system, d) a detection device (18) for checking a sputter process, and computer program having a program code for performing the process steps.
    Type: Grant
    Filed: November 26, 2009
    Date of Patent: June 10, 2014
    Assignee: Grenzebach Maschinenbau GmbH
    Inventor: Roland Franz
  • Patent number: 8747560
    Abstract: A pedestal positioning assembly system for use in a substrate processing system includes a pedestal rigidly attached to a pedestal shaft, a reference rigidly attached to the substrate processing system, a lateral adjustment assembly to adjust a lateral location of the pedestal relative to the reference, and a vertical adjustment assembly to adjust a tilt of the pedestal relative to the reference. The lateral adjustment assembly and the vertical adjustment assembly are external to a processing chamber and are coupled to the pedestal disposed within the processing chamber through the pedestal shaft. The reference can be a ring and the lateral adjustment assembly substantially centers the pedestal within the ring. A method of adjusting a pedestal includes leveling the pedestal, translating the pedestal, calibrating the pedestal height to a preheat ring level, and checking the level and location of the pedestal while rotating the pedestal.
    Type: Grant
    Filed: February 13, 2013
    Date of Patent: June 10, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Richard Collins, Kailash Kiran Patalay, Jean Vatus, Zhepeng Cong
  • Patent number: 8747558
    Abstract: The purpose of the invention is increasing the efficiency of utilizing an EL material and providing a deposition method and a vapor deposition apparatus which is one of the film formation systems which are excellent in throughput and uniformity in film thickness in forming an EL layer. According to the invention, evaporation is performed by moving or reciprocating an evaporation source holder in which a plurality of containers (crucible) each encapsulating an evaporation material are set only in an X direction while moving a substrate at regular intervals. Further, in the plurality of evaporation source holders, film thickness meters of adjacent evaporation sources are disposed alternately so as to sandwich the movement pathway of the substrate.
    Type: Grant
    Filed: March 21, 2007
    Date of Patent: June 10, 2014
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Junichiro Sakata, Shunpei Yamazaki
  • Publication number: 20140141218
    Abstract: A laminate body of the present invention includes a base material, an atomic layer deposition film that is formed along the outer surface of the base material, and an overcoat layer that covers the atomic layer deposition film with a film having a mechanical strength higher than that of the atomic layer deposition film.
    Type: Application
    Filed: January 27, 2014
    Publication date: May 22, 2014
    Applicant: TOPPAN PRINTING CO., LTD.
    Inventors: Toshiaki Yoshihara, Kyoko Kuroki, Mitsuru Kano, Jin Sato
  • Publication number: 20140130743
    Abstract: A film forming apparatus that includes a mounting table for loading a wafer, a encompassing member surrounding the mounting table and dividing an inside of a process container, an exhaust part that vacuum exhausts the process container, a clamp ring loaded upon an upper space of the encompassing member and lifted from the upper space of the encompassing member while contacting an inner circumference part thereof with an outer circumference of the wafer loaded on the mounting table, and a cylindrical wall extended downward from the clamp ring, formed along a circumference of the clamp ring into a cylinder shape, and positioned between an outer circumference surface of the mounting table and an inner circumference surface of the encompassing member.
    Type: Application
    Filed: November 7, 2013
    Publication date: May 15, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Daisuke TORIYA, Eiichi KOMORI, Manabu AMIKURA
  • Patent number: 8721790
    Abstract: A film deposition apparatus includes a turntable provided in the chamber and having on a first surface a substrate receiving area in which a substrate is placed; first and second reaction gas supplying portions supplying first and second reaction gases to the first surface, respectively; a separation gas supplying portion provided between the first reaction gas supplying portion and the second reaction gas supplying portion and supplying a separation gas that separates the first reaction gas and the second reaction gas; an evacuation port that evacuates the chamber; a space defining member provided for at least one of the first and second reaction gas supplying portions and defining a first space between the at least one of the first and second reaction gas supplying portions and the turntable and a second space so that the separation gas is likely to flow through the second space rather than the first space.
    Type: Grant
    Filed: December 9, 2010
    Date of Patent: May 13, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Hitoshi Kato, Manabu Honma, Kohichi Orito, Yasushi Takeuchi, Hiroyuki Kikuchi
  • Patent number: 8709539
    Abstract: A process and an apparatus for producing a composite material utilize a rotatable hollow body that is inclined with an upstream side being higher than a downstream side. A reaction zone is defined within an elongated chamber in the hollow body. Protrusions inwardly extend from an inner peripheral wall of the hollow body adjacent to the reaction zone. Base material is input into the chamber via a base material introduction port and a carbon source vapor is input into the chamber via a carbon source supply port. A heater heats the reaction zone to a temperature at which carbon nanotubes form on the base material from the carbon source vapor. The protrusions catch base material disposed on the inner peripheral wall of the hollow body when the hollow body rotates and then drop the base material through the reaction zone so that the base material contacts the carbon source vapor.
    Type: Grant
    Filed: February 2, 2010
    Date of Patent: April 29, 2014
    Assignees: Meijo University, Meijo Nano Carbon Co., Ltd., Masuoka Ceramic Materials Co., Ltd.
    Inventors: Yoshinori Ando, Mukul Kumar, Takeshi Hashimoto, Norio Kurauchi, Hirotaka Masuoka, Akira Kagohashi
  • Patent number: 8709218
    Abstract: A vacuum processing apparatus includes an evacuatable vacuum chamber, a substrate holder which is provided in the vacuum chamber, has a substrate chuck surface vertically facing down, and includes an electrostatic chuck mechanism which electrostatically chucks a substrate, a substrate support member which is provided in the vacuum chamber to keep the substrate parallel to the substrate chuck surface and support the substrate in an orientation that allows the substrate chuck surface to chuck the substrate, and a moving mechanism which moves at least one of the substrate holder and the substrate supported by the substrate support member so as to bring the substrate and the substrate holder into contact with each other, thereby causing the substrate holder to chuck the substrate.
    Type: Grant
    Filed: October 10, 2012
    Date of Patent: April 29, 2014
    Assignee: Canon Anelva Corporation
    Inventors: Hajime Yamamoto, Hiroyuki Imai
  • Patent number: 8702903
    Abstract: A thermally conductive sheet is used between a mounting table for mounting thereon a target substrate and an annular focus ring mounted on the mounting table to surround a circumferential peripheral portion of the target substrate. Further, the mounting table includes therein a cooling unit and is disposed in a depressurized accommodating chamber for accommodating therein the target substrate. The thermally conductive sheet has a non-adhesive layer on each of one or more surfaces thereof.
    Type: Grant
    Filed: March 26, 2008
    Date of Patent: April 22, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Masaaki Miyagawa, Akihiro Yoshimura
  • Patent number: 8701753
    Abstract: An apparatus and method for cooling a planar workpiece, such as a substrate of a recording disk, in an evacuated environment has a heat exchanging structure with at least two heat sinks having substantially parallel facing surfaces disposed within a vacuum chamber. A drive arrangement is connected to the heat sinks to controllably and dynamically drive the parallel facing surfaces of the heat sinks towards and away from each other.
    Type: Grant
    Filed: May 21, 2004
    Date of Patent: April 22, 2014
    Assignee: Seagate Technology LLC
    Inventors: Chang Bok Yi, Tatsuru Tanaka, Paul Mcleaod
  • Patent number: 8698048
    Abstract: A vacuum chuck and a process chamber equipped with the same are provided. The vacuum chuck assembly comprises a support body, a plurality of protrusions, a plurality of channels, at least one support member supporting the support body, at least one resilient member coupled with the support member, a hollow shaft supporting the support body, at least one electrical connector disposed through the hollow shaft, and an air-cooling apparatus. The support body has a support surface for holding a substrate (such as a wafer) thereon. The protrusions are formed on and project from the support surface for creating a gap between the substrate and the support surface. The channels are formed on the support surface for generating reduced pressure in the gap. The air-cooling apparatus is used for providing air cooling in the vicinity of the electrical connector.
    Type: Grant
    Filed: April 26, 2012
    Date of Patent: April 15, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Alexander N. Lerner, Blake Koelmel, Mehran Behdjat