Chamber Seal Patents (Class 118/733)
  • Publication number: 20140060435
    Abstract: Apparatus for use in an inline substrate processing tool are provided herein. In some embodiments, a door for use in an inline substrate processing tool between a first and a second substrate processing module coupled to one another in a linear arrangement may include a reflective body disposed between two cover plates of substantially transparent material, configured to reflect light and heat energy into each of the at first and second substrate processing modules, wherein the door is selectively movable, via an actuator coupled to the door, between an open position that fluidly couples the first and second substrate processing modules to a closed position that isolates the first substrate processing module from the second substrate processing module.
    Type: Application
    Filed: December 20, 2012
    Publication date: March 6, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: DAVID K. CARLSON, MICHAEL R. RICE, KARTIK B. SHAH, KASHIF MAQSOOD, PRAVIN K. NARWANKAR
  • Patent number: 8663389
    Abstract: A method and apparatus for depositing III-V material is provided. The apparatus includes a reactor partially enclosed by a selectively permeable membrane 12. A means is provided for generating source vapors, such as a vapor-phase halide of a group III element (IUPAC group 13) within the reactor volume 10, and an additional means is also provided for introducing a vapor-phase hydride of a group V element (IUPAC group 15) into the volume 10. The reaction of the group III halide and the group V hydride on a temperature-controlled substrate 18 within the reactor volume 10 produces crystalline III-V material and hydrogen gas. The hydrogen is preferentially removed from the reactor through the selectively permeable membrane 12, thus avoiding pressure buildup and reaction imbalance. Other gases within the reactor are unable to pass through the selectively permeable membrane.
    Type: Grant
    Filed: May 21, 2011
    Date of Patent: March 4, 2014
    Inventor: Andrew Peter Clarke
  • Patent number: 8641825
    Abstract: A substrate temperature regulation fixed apparatus has a base substance on which a vacuumed object is placed, an adhesive layer and a base plate. The base substance is fixed on the base plate through the adhesive layer. The adhesive layer contains a substance having plasma resistance.
    Type: Grant
    Filed: June 11, 2009
    Date of Patent: February 4, 2014
    Assignee: Shinko Electric Industries Co., Ltd.
    Inventors: Hiroshi Yonekura, Miki Saito, Koki Tamagawa
  • Patent number: 8623145
    Abstract: A seal for sealing an interface between a container and a lid of a process chamber. The seal comprises a first seal element and a second seal element that are arranged to seal the interface in series, with the second seal element being situated to encounter processing activity upstream of the fist seal element. The first seal element has a deflectable portion and a protrusion extending radially from the deflectable portion. The second seal element has a radially extending recess in which the protrusion of the first seal element is received. The protrusion and recess interlock to restrict separation and/or rotation of the first and second seal elements. Inclined surfaces of the first seal element interact with the second seal element to apply axial sealing forces to sealing surfaces of the second seal member.
    Type: Grant
    Filed: March 25, 2010
    Date of Patent: January 7, 2014
    Assignee: Parker-Hannifin Corporation
    Inventors: Don Bowman, Stephen Coppola, Kenneth W. Cornett, Dan Funke, Julian Kamibayashiyama, Jeff Navarro, Jeremy M. Payne, Donald J. Peterson, Douglas C. Schenk
  • Patent number: 8613802
    Abstract: Affords nitride semiconductor crystal manufacturing apparatuses that are durable and that are for manufacturing nitride semiconductor crystal in which the immixing of impurities from outside the crucible is kept under control, and makes methods for manufacturing such nitride semiconductor crystal, and the nitride semiconductor crystal itself, available. A nitride semiconductor crystal manufacturing apparatus (100) is furnished with a crucible (101), a heating unit (125), and a covering component (110). The crucible (101) is where, interiorly, source material (17) is disposed. The heating unit (125) is disposed about the outer periphery of the crucible (101), where it heats the crucible (101) interior. The covering component (110) is arranged in between the crucible (101) and the heating unit (125).
    Type: Grant
    Filed: January 20, 2010
    Date of Patent: December 24, 2013
    Assignee: Sumitomo Electric Industies, Ltd.
    Inventors: Issei Satoh, Michimasa Miyanaga, Yoshiyuki Yamamoto, Hideaki Nakahata
  • Publication number: 20130333621
    Abstract: An integrated deposition system is described that is capable of vaporizing low vapor pressure liquid precursors and conveying the vapor to a processing region to fabricate advanced integrated circuits. The integrated deposition system includes a heated exhaust system, a remote plasma generator, a processing chamber, a liquid delivery system, and a computer control module that together create a commercially viable and production worthy system for depositing high capacity dielectric materials from low vapor pressure precursors.
    Type: Application
    Filed: May 31, 2013
    Publication date: December 19, 2013
    Inventors: Bobby M. RONSSE, Craig R. METZNER, Richard Omar COLLINS
  • Patent number: 8608856
    Abstract: A sealing part that is inexpensive and enable excellent durability to be secured without the need for a predetermined sealing space as would be required for a double sealing structure. A sealing part seals an inside of a reduced pressure vessel from an outside, in which a high-elasticity polymeric material-eroding eroding substance is present and which is comprised of a substrate processing apparatus carrying out predetermined processing on a substrate housed in the reduced pressure vessel. The sealing part has a radical sealing member and a vacuum sealing member. The radical sealing member is disposed on an inside side of the reduced pressure vessel and is resistant to the eroding substance. The vacuum sealing member is made of the high-elasticity polymeric material and is disposed on an outside side of the reduced pressure vessel. At least one refuge space is formed through at least part of the radical sealing member and at least part of the vacuum sealing member being separated from one another.
    Type: Grant
    Filed: April 20, 2006
    Date of Patent: December 17, 2013
    Assignees: Tokyo Electron Limited, Nippon Valqua Industries, Ltd.
    Inventors: Daisuke Hayashi, Akira Muramatsu
  • Patent number: 8603245
    Abstract: Substrate processing systems and methods are described for site-isolated processing of substrates. The processing systems include numerous site-isolated reactors (SIRs). The processing systems include a reactor block having a cell array that includes numerous SIRs. A sleeve is coupled to an interior of each of the SIRs. The sleeve includes a compliance device configured to dynamically control a vertical position of the sleeve in the SIR. A sealing system is configured to provide a seal between a region of a substrate and the interior of each of the SIRs. The processing system can include numerous modules that comprise one or more site-isolated reactors (SIRs) configured for one or more of molecular self-assembly and combinatorial processing of substrates.
    Type: Grant
    Filed: October 22, 2012
    Date of Patent: December 10, 2013
    Assignee: Intermolecular, Inc.
    Inventors: Aaron T. Francis, Kurt H. Weiner
  • Publication number: 20130302734
    Abstract: The present invention provides a deposition film forming apparatus including a reaction container, an exhaust device and an exhaust gas flow path for causing a material gas to flow from the reaction container to the exhaust device, wherein the exhaust gas flow path includes a portion whose cross section expands with a step with respect to a direction in which the material gas flows and the deposition film forming apparatus further includes a cleaning gas flow device for causing the cleaning gas to directly flow into a region closer to the exhaust device side than the step of the exhaust gas flow path, a deposition film forming method using the deposition film forming apparatus and a method of manufacturing an electrophotographic photosensitive member using the deposition film forming method.
    Type: Application
    Filed: July 18, 2013
    Publication date: November 14, 2013
    Inventors: Kazuto HOSOI, Kazuyoshi AKIYAMA, Yukihiro ABE, Motoya YAMADA
  • Patent number: 8562742
    Abstract: Apparatus for the delivery of a gas to a chamber and methods of use thereof are provided herein. In some embodiments, a gas distribution system for a process chamber may include a body having a first surface configured to couple the body to an interior surface of a process chamber, the body having a opening disposed through the body; a flange disposed proximate a first end of the opening opposite the first surface of the body, the flange extending inwardly into the opening and configured to support a window thereon; and a plurality of gas distribution channels disposed within the body and fluidly coupling a channel disposed within the body and around the opening to a plurality of holes disposed in the flange, wherein the plurality of holes are disposed radially about the flange.
    Type: Grant
    Filed: October 19, 2010
    Date of Patent: October 22, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Jared Ahmad Lee, Martin Jeff Salinas, Ankur Agarwal, Ezra Robert Gold, James P. Cruse, Aniruddha Pal, Andrew Nguyen
  • Publication number: 20130269599
    Abstract: Apparatus and method for continuous pressure control in a process chamber. An apparatus includes a process chamber configured to receive a wafer; at least one pump coupled to the process chamber for maintaining pressure in the process chamber; an inlet for receiving reactive gasses into the process chamber; and a pressure control valve positioned between the at least one pump and configured to seal the process chamber to control the pressure in the process chamber. A method includes disposing at least one semiconductor wafer into a process chamber that is coupled to a pump for maintaining a sub-atmospheric pressure within the process chamber; introducing reactive process gasses into the process chamber; using a pressure control valve, at least partially sealing the process chamber; and increasing the pressure within the process chamber while exposing the semiconductor wafer to the process gasses to form epitaxial material. Additional embodiments are disclosed.
    Type: Application
    Filed: April 13, 2012
    Publication date: October 17, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chien-Feng Lin, Tsung-Hsun Yu
  • Patent number: 8545628
    Abstract: The present invention relates to methods and apparatus that are optimized for producing Group III-N (nitrogen) compound semiconductor wafers and specifically for producing GaN wafers. Specifically, the methods relate to substantially preventing the formation of unwanted materials on an isolation valve fixture within a chemical vapor deposition (CVD) reactor. In particular, the invention provides apparatus and methods for limiting deposition/condensation of GaCl3 and reaction by-products on an isolation valve that is used in the system and method for forming a monocrystalline Group III-V semiconductor material by reacting an amount of a gaseous Group III precursor as one reactant with an amount of a gaseous Group V component as another reactant in a reaction chamber.
    Type: Grant
    Filed: November 16, 2007
    Date of Patent: October 1, 2013
    Assignee: Soitec
    Inventors: Chantal Arena, Christiaan Werkhoven
  • Publication number: 20130239993
    Abstract: A film-forming apparatus includes a heat generator exposed to a film-forming gas drawn into a chamber to generate film formation species. A film-forming gas supply system supplies the film-forming gas into the chamber. A control unit sets the heat generator in a non-heated state during a cleaning process that discharges a film formation residue from the chamber. A cleaning gas supplying system supplies a cleaning gas including ClF3 into the chamber. A temperature adjustment unit adjusts the chamber to a target temperature from 100° C. or higher to 200° C. or less in the cleaning process. A discharge system discharges a reaction product produced by a reaction between the film formation residue and the cleaning gas from the chamber.
    Type: Application
    Filed: November 22, 2011
    Publication date: September 19, 2013
    Applicant: ULVAC, INC.
    Inventors: Yohei Ogawa, Satoru Toyoda, Yoshihiro Okamura
  • Patent number: 8529701
    Abstract: A substrate processing apparatus includes a reaction tube, the reaction tub including an inner tube made of quartz and an outer tube made of quartz; a manifold made of quartz disposed under the outer tube, a top surface of the manifold being in air-tight contact with a bottom surface of the outer tube via a sealing member; a seal cap cover made of quartz disposed under the manifold, a top surface of the seal cap cover being in air-tight contact with a bottom surface of the manifold via a sealing member; a seal cap covered by the seal cap cover, a top surface of the seal cap being in air-tight contact with a bottom surface of the seal cap cover via a sealing member; and at least one protrusion disposed at the bottom surface of one of the outer tube, the manifold, the seal cap cover, and combinations thereof.
    Type: Grant
    Filed: August 6, 2009
    Date of Patent: September 10, 2013
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Shinya Morita, Takayuki Nakada, Tomoyuki Matsuda, Keisuke Sakashita
  • Patent number: 8518183
    Abstract: A film deposition apparatus is configured to deposit a film on a substrate by carrying out a cycle of alternately supplying at least two kinds of reaction gases that react with each other to the substrate to stack multiple layers of a reaction product in a vacuum chamber so that a thin film is formed. The film deposition apparatus includes a rotation table, a substrate providing area, a first reaction gas supplying part, a second reaction gas supplying part, a separation area, a center part area, an evacuation opening, and a substrate cooling part.
    Type: Grant
    Filed: August 26, 2009
    Date of Patent: August 27, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Manabu Honma
  • Patent number: 8500089
    Abstract: A method of operating an on-off valve comprises closing one of two openings of a valve body with a seal member of a closure element within the valve body, the valve body being within an evacuation pipe connected between a process chamber and an evacuation apparatus; moving the closure element, using a linear driver, so that the seal member is moved away from the one of the two openings; and positioning the closure element into a retreat portion in an surface of the valve body, using the linear driver and a pivotal driver adapted to pivot the closure element between the one of the two openings and the retreat portion, so that the seal member is closed inside a protection seal member of the closure element to surround the seal member, thereby preventing the seal member from being directly exposed to the process gas.
    Type: Grant
    Filed: January 11, 2012
    Date of Patent: August 6, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Toshihisa Nozawa
  • Patent number: 8499715
    Abstract: A coating apparatus includes a first coating device, a number of second coating devices and a number of substrate holders. Each of the substrate holders is rotatable relative to the first coating device and the second coating devices such that one of two opposite holding surfaces of the substrate holder alternately faces the first coating device and the second coating devices.
    Type: Grant
    Filed: March 31, 2011
    Date of Patent: August 6, 2013
    Assignee: Hon Hai Precision Industry Co., Ltd.
    Inventor: Shao-Kai Pei
  • Patent number: 8485127
    Abstract: A structure of an improved processing vessel for a processing apparatus, which processes a target object using a processing gas, is disclosed. The target object, such as a semiconductor wafer, is heated within a metal cylindrical shaped processing vessel. The processing vessel includes a plurality of block bodies mutually connected by being stacked in the vertical direction. Heat insulating vacuum layers are arranged between the adjacent block bodies. Thus, heat transfer between block bodies is suppressed, so that temperature of each block body can be separately controlled, thereby improving energy efficiency.
    Type: Grant
    Filed: October 18, 2006
    Date of Patent: July 16, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Shinya Nishimoto, Tamaki Yuasa
  • Patent number: 8486194
    Abstract: An apparatus for removing volatile residues from a substrate is provided. In one embodiment, an apparatus for removing halogen-containing residues from a substrate includes a chamber suitable for operating maintaining a vacuum therein and a heat module positioned to heat a substrate disposed in the chamber. The apparatus for removing halogen-containing residues from a substrate also includes at least one of A) a temperature controlled pedestal having a projection extending radially therefrom suitable for supporting the temperature control pedestal on a ledge of the chamber body, the projection thermally isolating the base from the chamber body; B) a pair of substrate holders that include two support flanges extending radially inward from an inner edge of an arc-shaped body, each support flange having a substrate support step that includes a sloped landing; or C) a domed window.
    Type: Grant
    Filed: October 16, 2012
    Date of Patent: July 16, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Kenneth J. Bhang, Matthew Fenton Davis, Travis Morey, James D. Carducci
  • Patent number: 8480805
    Abstract: A system and method for movably sealing a vapor deposition source is described. One embodiment includes a system for coating a substrate, the system comprising a deposition chamber; a vapor pocket located within the deposition chamber; and an at least one movable seal, wherein the at least one movable seal is configured to form a first seal with a first portion of a substrate, and wherein the first seal is configured to prevent a vapor from leaking past the first portion of the substrate out of the vapor pocket. In some embodiments, the movable seal may comprise a first flange, wherein the first flange forms a wall of the vapor pocket; and a second flange, wherein the second flange is configured to be movably disposed within a first groove of the source block.
    Type: Grant
    Filed: April 16, 2010
    Date of Patent: July 9, 2013
    Assignee: Colorado State University Research Foundation
    Inventors: Robert A. Enzenroth, Joseph D. LoBue, Lawrence J. Knipp
  • Patent number: 8480850
    Abstract: A plasma treatment system for treating a workpiece with a downstream-type plasma. The processing chamber of the plasma treatment system includes a chamber lid having a plasma cavity disposed generally between a powered electrode and a grounded plate, a processing space separated from the plasma cavity by the grounded plate, and a substrate support in the processing space for holding the workpiece. A direct plasma is generated in the plasma cavity. The grounded plate is adapted with openings that remove electrons and ions from the plasma admitted from the plasma cavity into the processing space to provide a downstream-type plasma of free radicals. The openings may also eliminate line-of-sight paths for light between the plasma cavity and processing space. In another aspect, the volume of the processing chamber may be adjusted by removing or inserting at least one removable sidewall section from the chamber lid.
    Type: Grant
    Filed: February 11, 2010
    Date of Patent: July 9, 2013
    Assignee: Nordson Corporation
    Inventors: James Scott Tyler, James D. Getty, Thomas V. Bolden, II, Robert Sergei Condrashoff
  • Patent number: 8465802
    Abstract: A reactor and method for performing chemical vapor deposition are disclosed. A chemical vapor deposition reactor can have a cylindrical chamber that comprises a cylindrical lid support and an annular gas distribution plate. Said chamber can be configured to have a horizontal laminar flow of at least one gas stream in the radial direction and a vertical downward flow of another gas stream over wafers. A large capacity of a CVD reactor with simple structures, easy maintenance and low consumption of reactants can be achieved. High uniformity, repeatability, reproducibility and consistency of depositing layers on wafers can be obtained.
    Type: Grant
    Filed: June 29, 2009
    Date of Patent: June 18, 2013
    Inventor: Gang Li
  • Publication number: 20130133580
    Abstract: A processing chamber is provided. The processing chamber includes a lid having a plurality of valves affixed thereto, the plurality of valves operable to enable process gases to flow into the chamber. The chamber includes a bottom portion, where the bottom portion includes a base and side walls extending from the base. A surface of the base is configured to support a substrate. A showerhead is affixed to a bottom surface of the lid. A bottom surface of the showerhead is configured to include a central port for providing process gases into the chamber. The central port is surrounded by an annular pump channel. The annular pump channel is surrounded by an annular purge channel, where a first ridge separates the delivery region and the annular pump channel and a second ridge separates the annular pump channel and the annular purge channel.
    Type: Application
    Filed: November 29, 2011
    Publication date: May 30, 2013
    Applicant: Intermolecular, Inc.
    Inventor: Jason Wright
  • Patent number: 8414702
    Abstract: A plasma processing apparatus is described and which includes a chamber having at least two processing stations which are separated by a wall. At least one channel is formed in the wall, and wherein the channel has a width to length ratio of less than about 1:3.
    Type: Grant
    Filed: April 7, 2011
    Date of Patent: April 9, 2013
    Assignee: Advanced Micro-Fabrication Equipment, Inc. Asia
    Inventor: Qing Qian
  • Patent number: 8414705
    Abstract: A seal mechanism that can prevent the occurrence of corrosion and abnormal discharge. The seal mechanism is disposed between first and second structural members having opposing surfaces. A first seal trench and a second seal trench are formed respectively in a first opposing surface of the first structural member and in a second opposing surface of the second structural member. A first seal member and a second seal member are housed respectively in the first and second seal trenches. The first seal member and the second seal member are brought into pressure contact with each other. These seal trenches have a first seal surface and a second seal surface from which aluminum is exposed, and further have first shut-off surface disposed between the first opposing surface and the first seal surface and a second shut-off surface disposed between the second opposing surface and the second seal surface.
    Type: Grant
    Filed: January 22, 2009
    Date of Patent: April 9, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Yusuke Nakagawa
  • Publication number: 20130068165
    Abstract: A microfluidic device for use with a microfluidic delivery system, such as an organic vapor jet printing device, includes a glass layer that is directly bonded to a microfabricated die and a metal plate via a double anodic bond. The double anodic bond is formed by forming a first anodic bond at an interface of the microfabricated die and the glass layer, and forming a second anodic bond at an interface of the metal plate and the glass layer, where the second anodic bond is formed using a voltage that is lower than the voltage used to form the first anodic bond. The second anodic bond is formed with the polarity of the voltage reversed with respect to the glass layer and the formation of the first anodic bond. The metal plate includes attachment features that allow removal of the microfluidic device from a fixture.
    Type: Application
    Filed: September 19, 2011
    Publication date: March 21, 2013
    Applicant: THE REGENTS OF THE UNIVERSITY OF MICHIGAN
    Inventors: Stephen Forrest, Gregory McGraw, Siddharth Harikrishna Mohan, Diane L. Peters
  • Publication number: 20130052346
    Abstract: A chemical vapor deposition reactor has one or more deposition zones bounded by gas flow virtual walls, within a housing having closed walls. Each deposition zone supports chemical vapor deposition onto a substrate. Virtual walls formed of gas flows laterally surround the deposition zone, including a first gas flow of reactant gas from within the deposition zone and a second gas flow of non-reactant gas from a region laterally external to the deposition zone. The first and second gas flows are mutually pressure balanced to form the virtual walls. The virtual walls are formed by merging of gas flows at the boundary of each deposition zone. The housing has an exhaust valve to prevent pressure differences or pressure build up that would destabilize the virtual walls. Cross-contamination is reduced, between the deposition zones and the closed walls of the housing or an interior region of the housing outside the gas flow virtual walls.
    Type: Application
    Filed: August 31, 2011
    Publication date: February 28, 2013
    Applicant: ALTA DEVICES, INC.
    Inventors: Gregg Higashi, Alexander Lerner, Khurshed Sorabji, Lori D. Washington
  • Patent number: 8377214
    Abstract: A vapor chamber includes a sealed flattened casing containing working liquid therein, a wick structure arranged on an inner face of the casing, a supporting plate received in the casing and a plurality of supporting posts. The supporting plate defines a plurality of fixing holes therein. The supporting posts are engagingly received in the fixing holes of the supporting plate. Top and bottom ends of the supporting posts engage with the wick structure to reinforce a structure of the vapor chamber.
    Type: Grant
    Filed: August 4, 2009
    Date of Patent: February 19, 2013
    Assignees: Fu Zhun Precision Industry (Shen Zhen) Co., Ltd., Foxconn Technology Co., Ltd.
    Inventors: Sheng-Chao Zhang, Zhi-Yong Zhou, Qiao-Li Ding
  • Patent number: 8377213
    Abstract: Methods and apparatus for increasing flow uniformity are provided herein. In some embodiments, a slit valve having increased flow uniformity may be provided, the slit valve may include a housing having an opening disposed therethrough, the opening configured to allow a substrate to pass therethrough; a gas inlet formed in the housing; an outer plenum disposed in the housing and coupled to the gas inlet; an inner plenum disposed in the housing and coupled to the outer plenum via a plurality of holes; and a plurality of gas outlets disposed in the housing and fluidly coupling the opening to the inner plenum.
    Type: Grant
    Filed: May 5, 2008
    Date of Patent: February 19, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Chandrasekhar Balasubramanyam, Helder Lee, Miriam Schwartz, Elizabeth Wu, Kedarnath Sangam
  • Patent number: 8366829
    Abstract: A tandem processing-zones chamber having plasma isolation and frequency isolation is provided. At least two RF frequencies are fed from the cathode for each processing zones, where one frequency is about ten times higher than the other, so as to provide decoupled reactive ion etch capability. The chamber body is ground all around and in-between the two processing zones. The use of frequency isolation enables feed of multiple RF frequencies from the cathode, without having crosstalk and beat. A plasma confinement ring is also used to prevent plasma crosstalk. A grounded common evacuation path is connected to a single vacuum pump.
    Type: Grant
    Filed: July 2, 2007
    Date of Patent: February 5, 2013
    Assignee: Advanced Micro-Fabrication Equipment, Inc. Asia
    Inventors: Gerald Yin, Tuqiang Ni, Jinyuan Chen, Xueyu Qian
  • Publication number: 20130025539
    Abstract: A device for doping, deposition or oxidation of semiconductor material at low pressure in a process tube, is provided with a tube closure as well as devices for supplying and discharging process gases and for generating a negative pressure in the process tube. A closure of the process chamber that is gas tight with respect to the process gases and the vacuum tight seal of the end of the tube closure are spatially separated from each other in relation to the atmosphere and are arranged on a same side of the process tube in such a manner that a bottom of a stopper, sealing the process chamber, rests against a sealing rim of the process tube and the tube closure end is sealed vacuum tight by a collar, which is attached to the process tube and against which a door rests sealingly.
    Type: Application
    Filed: August 1, 2012
    Publication date: January 31, 2013
    Applicant: CENTROTHERM PHOTOVOLTAICS AG
    Inventors: Alexander PIECHULLA, Claus Rade, Robert Michael Hartung
  • Patent number: 8361229
    Abstract: An apparatus and associated method of operation is provided for vapor deposition of a sublimated source material, such as CdTe, as a thin film on discrete photovoltaic (PV) module substrates that are conveyed in a continuous, non-stop manner through the apparatus. The apparatus includes a deposition head configured for receipt and sublimation of the source material. The deposition head has a distribution plate at a defined distance above a horizontal conveyance plane of an upper surface of the substrates conveyed through a deposition area within the apparatus. The sublimated source material moves through the distribution plate and deposits onto the upper surface of the substrates as they are conveyed through the deposition area. The substrates move into and out of the deposition area through entry and exit slots that are defined by transversely extending entrance and exit seals.
    Type: Grant
    Filed: April 22, 2010
    Date of Patent: January 29, 2013
    Assignee: Primestar Solar, Inc.
    Inventors: Max William Reed, Russell Weldon Black, Scott Daniel Feldman-Peabody, Mark Jeffrey Pavol
  • Patent number: 8353988
    Abstract: Rotating pressure distributor (I) for a carousel-type machine for treating hollow bodies in a plurality of identical treatment stations, comprising two rings, (2) fixed and (3) rotating, in sealing contact, the ring (3) having orifices (6) each adapted for being connected to a station and opening onto the contact face (5) thereof, the ring (2) having at least one aperture (7) which may be connected to a pressure source and opening onto the contact face (4) thereof by being on the trajectory of the orifices (6); grease is spread between the contact faces (4, 5) of the two rings (2, 3) to provide the seal; at least one annular channel (13) covers the annular opening (19) of the joint plane (P) and is connected to the atmosphere; in the channel respective flanges (14) are mutually interlaced without contact with one another, forming chicanes; the channel is filled with grease.
    Type: Grant
    Filed: October 10, 2007
    Date of Patent: January 15, 2013
    Assignee: Sidel Participations
    Inventors: Laurent Danel, Marc Mouchelet
  • Patent number: 8329132
    Abstract: A polycrystalline silicon manufacturing apparatus efficiently produces high-quality polycrystalline silicon. There is provided a polycrystalline silicon manufacturing apparatus, in which a plurality of gas supplying ports 6A for ejecting raw gas upward in a reactor 1 and gas exhausting ports 7 for exhausting exhaust gas after a reaction are provided on an inner bottom of the reactor 1 in which a plurality of silicon seed rods 4 are stood, the silicon seed rods 4 are heated and the polycrystalline silicon is deposited from the raw gas on the surfaces.
    Type: Grant
    Filed: November 21, 2008
    Date of Patent: December 11, 2012
    Assignee: Mitsubishi Materials Corporation
    Inventors: Toshihide Endoh, Masayuki Tebakari, Toshiyuki Ishii, Masaaki Sakaguchi, Naoki Hatakeyama
  • Patent number: 8322299
    Abstract: An apparatus includes an enclosure, at least one process chamber, a robot and at least one valve. The enclosure has a gas therein and at least one door configured to cover an opening into the enclosure. The gas includes at least one reduction gas. The robot is disposed within the enclosure and configured to transfer a substrate between the door and the process chamber. The valve is coupled to the enclosure.
    Type: Grant
    Filed: May 23, 2006
    Date of Patent: December 4, 2012
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chen-Hua Yu, Minghsing Tsai, Yi-Li Hsiao
  • Patent number: 8317926
    Abstract: The specification discloses a method and apparatus enabling the formation of a diffusion surface layer on a surface of a metal substrate, typically a ferrous based metal substrate, wherein in a first stage in a first fluidized bed furnace, a diffusion zone is formed extending inwardly from the surface of the metal substrate in which nitrogen has been diffused to form a nitride or carbo nitride inner zone and an outer white layer that is substantially free of porosity, treating the substrate formed in the first stage to prevent formation of or remove any surface oxide on the surface of the substrate, and in a second stage separate from the first stage, holding the thus treated substrate in a fluidized bed furnace operated under an inert atmosphere and fluidized by a flow of inert gas or gases, the substrate in the fluidized bed furnace being treated in the presence of a halide gas and a particulate metal or metal alloy.
    Type: Grant
    Filed: July 20, 2006
    Date of Patent: November 27, 2012
    Assignee: Hard Technologies Pty Ltd.
    Inventor: Ray William Reynoldson
  • Patent number: 8317927
    Abstract: Substrate processing systems and methods are described for site-isolated processing of substrates. The processing systems include numerous site-isolated reactors (SIRs). The processing systems include a reactor block having a cell array that includes numerous SIRs. A sleeve is coupled to an interior of each of the SIRs. The sleeve includes a compliance device configured to dynamically control a vertical position of the sleeve in the SIR. A sealing system is configured to provide a seal between a region of a substrate and the interior of each of the SIRs. The processing system can include numerous modules that comprise one or more site-isolated reactors (SIRs) configured for one or more of molecular self-assembly and combinatorial processing of substrates.
    Type: Grant
    Filed: April 5, 2011
    Date of Patent: November 27, 2012
    Assignee: Intermolecular, Inc.
    Inventors: Kurt H. Wiener, Aaron Francis
  • Patent number: 8287648
    Abstract: A semiconductor processing apparatus includes a reaction chamber, a loading chamber, a movable support, a drive mechanism, and a control system. The reaction chamber includes a baseplate. The baseplate includes an opening. The movable support is configured to hold a workpiece. The drive mechanism is configured to move a workpiece held on the support towards the opening of the baseplate into a processing position. The control system is configured to create a positive pressure gradient between the reaction chamber and the loading chamber while the workpiece support is in motion. Purge gases flow from the reaction chamber into the loading chamber while the workpiece support is in motion. The control system is configured to create a negative pressure gradient between the reaction chamber and the loading chamber while the workpiece is being processed.
    Type: Grant
    Filed: February 9, 2009
    Date of Patent: October 16, 2012
    Assignee: ASM America, Inc.
    Inventors: Joseph C Reed, Eric J Shero
  • Patent number: 8282737
    Abstract: A CVD device has a reaction furnace (39) for processing a wafer (1); a seal cap (20) for sealing the reaction furnace (39) hermetically; an isolation flange (42) opposite to the seal cap (20); a small chamber (43) formed by the seal cap (20), the isolation flange (42), and the wall surface in the reaction furnace (39); a feed pipe (19b) for supplying a first gas to the small chamber (43); an outflow passage (42a) provided in the small chamber (43) for allowing the first gas to flow into the reaction furnace (39); and a feed pipe (19a) provided downstream from the outflow passage (42a) for supplying a second gas into the reaction furnace (39). Byproducts such as NH4Cl are prevented from adhering to low temperature sections such as the furnace opening and therefore the semiconductor device production yield is therefore increased.
    Type: Grant
    Filed: September 22, 2011
    Date of Patent: October 9, 2012
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Takashi Ozaki, Tomoshi Taniyama, Hiroshi Unami, Kiyohiko Maeda, Shinya Morita, Yoshikazu Takashima, Sadao Hisakado
  • Patent number: 8282844
    Abstract: A method and system of etching a metal nitride, such as titanium nitride, is described. The etching process comprises introducing a process composition having a halogen containing gas, such as Cl2, HBr, or BCl3, and a hydrocarbon gas having the chemical formula CxHy, where x and y are equal to unity or greater.
    Type: Grant
    Filed: August 1, 2007
    Date of Patent: October 9, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Akiteru Ko, Hiroyuki Takahashi, Masayuki Sawataishi
  • Patent number: 8273178
    Abstract: A thin film deposition apparatus and a method of maintaining the same are disclosed. In one embodiment, a thin film deposition apparatus includes: a chamber including a removable chamber cover; one or more reactors housed in the chamber; a chamber cover lifting device connected to the chamber cover. The chamber cover lifting device is configured to move the chamber cover vertically between a lower position and an upper position. The apparatus further includes a level sensing device configured to detect whether the chamber cover is level, and a level maintaining device configured to adjust the chamber cover if the chamber cover is not level. This configuration maintains the chamber cover to be level as a condition for further vertical movement of the chamber cover.
    Type: Grant
    Filed: February 26, 2009
    Date of Patent: September 25, 2012
    Assignee: ASM Genitech Korea Ltd.
    Inventors: Se Yong Kim, Woo Chan Kim, Dong Rak Jung
  • Publication number: 20120231628
    Abstract: A substrate processing chamber includes a lift actuator that moves a pedestal between a substrate loading position and a substrate processing position. An adjustable seal defines an expandable sealed volume between a bottom surface of the pedestal and a bottom surface of the substrate processing chamber and is moveable between the substrate loading position and the substrate processing position. When the pedestal is in the substrate processing position, the pedestal and the adjustable seal define a first inert volume and a first process volume. When the pedestal is in the substrate loading position, the pedestal and the adjustable seal define a second inert volume and a second process volume. The second inert volume is less than the first inert volume and the second process volume is greater than the first process volume.
    Type: Application
    Filed: February 23, 2012
    Publication date: September 13, 2012
    Applicant: Novellus Systems Inc.
    Inventor: James F. Lee
  • Patent number: 8257501
    Abstract: In a plasma doping device according to the invention, a vacuum chamber (1) is evacuated with a turbo-molecular pump (3) as an exhaust device via a exhaust port 11 while a predetermined gas is being introduced from a gas supply device (2) in order to maintain the inside of the vacuum chamber (1) to a predetermined pressure with a pressure regulating valve (4). A high-frequency power of 13.56 MHz is supplied by a high-frequency power source (5) to a coil (8) provided in the vicinity of a dielectric window (7) opposed to a sample electrode (6) to generate inductive-coupling plasma in the vacuum chamber (1). A high-frequency power source (10) for supplying a high-frequency power to the sample electrode (6) is provided. Uniformity of processing is enhanced by driving a gate shutter (18) and covering a through gate (16).
    Type: Grant
    Filed: March 29, 2006
    Date of Patent: September 4, 2012
    Assignee: Panasonic Corporation
    Inventors: Tomohiro Okumura, Yuichiro Sasaki, Katsumi Okashita, Bunji Mizuno, Hiroyuki Ito, Ichiro Nakayama, Cheng-Guo Jin
  • Patent number: 8246749
    Abstract: Disclosed is a substrate processing apparatus, including a reaction tube to process a substrate therein, wherein the reaction tube includes an outer tube, an inner tube disposed inside the outer tube, and a support section to support the inner tube, the inner tube and the support section are made of quartz or silicon carbide, and a shock-absorbing member is provided between the support section and the inner tube.
    Type: Grant
    Filed: July 20, 2006
    Date of Patent: August 21, 2012
    Assignee: Hitachi Kokusai Electric, Inc.
    Inventors: Jie Wang, Ryuji Yamamoto, Sadao Nakashima
  • Publication number: 20120180663
    Abstract: Embodiments of the present invention provide a vacuum trap labyrinth with improved trapping characteristics. The vacuum trap labyrinth comprised of an inner part and an outer part that fit together while in use, and come apart for easy cleaning during maintenance. The vacuum trap labyrinth is installed in a catchpot. In one embodiment, an O-ring is used to seal off an area of the catchpot to facilitate a visual inspection.
    Type: Application
    Filed: January 18, 2011
    Publication date: July 19, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Mark Charles Easton, Joseph P. DeGeorge
  • Patent number: 8221551
    Abstract: Provided is a deposition apparatus that has a metal evaporation source for depositing a reflective layer, a pigment evaporation source for depositing a coloring layer, and a plasma polymerization source (electrode) for depositing a protective layer disposed inside a single vacuum processing room. By carrying out a step of depositing the reflective layer, a step of depositing the coloring layer, and a step of depositing the protective layer in the common vacuum processing room, processes can be simplified and an operation time can be reduced.
    Type: Grant
    Filed: June 7, 2007
    Date of Patent: July 17, 2012
    Assignee: Ulvac, Inc.
    Inventors: Masayuki Iljima, Yosuke Kobayashi, Kouji Hirayama, Yusuke Hashimoto, Ryuji Hamada, Ken Momono, Atsushi Nakatsuka
  • Patent number: 8211232
    Abstract: A substrate processing apparatus that can reduce the number of parts. A first gas introduction hole through which the hydrogen fluoride gas is introduced into a GDP is formed in an upper lid. A second gas introduction hole through which hydrogen fluoride gas is introduced from a hydrogen fluoride gas source is formed in a processing vessel. When the upper lid engages the upper portion of the processing vessel, one end of the first gas introduction hole is joined with one end of the second gas introduction hole to form an introduction path through which the hydrogen fluoride gas is introduced into a chamber.
    Type: Grant
    Filed: January 25, 2008
    Date of Patent: July 3, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Daisuke Hayashi
  • Patent number: 8211235
    Abstract: An apparatus for depositing conformal thin films by sequential self saturating chemical reactions on heated surfaces is disclosed. The apparatus comprises a movable single or dual-lid system that has a substrate holder attached to a reaction chamber lid. In other embodiments, the apparatus comprises an exhaust flow plug, a gas distribution insert, a local heater or a minibatch system. Various methods suitable for ALD (Atomic Layer Deposition) are also enclosed.
    Type: Grant
    Filed: March 4, 2005
    Date of Patent: July 3, 2012
    Assignee: Picosun Oy
    Inventors: Sven Lindfors, Juha Allan Kustaa-Adolf Poutiainen
  • Patent number: 8206075
    Abstract: In certain aspects, a load lock chamber is provided that includes a body having at least one sealing surface wall including a sealing surface. The sealing surface wall has an opening adjacent the sealing surface adapted to input or output a substrate. The body further includes a plurality of side walls. The load lock chamber also includes a top coupled to the body. The top includes one or more openings that divide the top into a first portion and a second portion. The load lock chamber further includes one or more top sealing members adapted to cover each opening of the top. Each top sealing member absorbs a movement of the first portion of the top relative to the second portion of the top. Numerous other aspects are provided.
    Type: Grant
    Filed: June 2, 2005
    Date of Patent: June 26, 2012
    Assignee: Applied Materials, Inc.
    Inventors: John M. White, Shinichi Kurita, William N. Sterling, Yoshiaki Tanase
  • Publication number: 20120118231
    Abstract: A method of processing a substrate by a substrate processing apparatus is disclosed. The substrate processing apparatus includes a processing container including a first space where a first processing gas or a second processing gas is supplied onto the substrate and a second space formed around the first space; a first exhaust unit configured to evacuate the first space; and a second exhaust unit configured to evacuate the second space. The method includes a first step of supplying the first processing gas into the first space; a second step of discharging the first processing gas from the first space; a third step of supplying the second processing gas into the first space; and a fourth step of discharging the second processing gas from the first space; wherein the pressure in the second space is adjusted by a pressure-adjusting gas supplied into the second space.
    Type: Application
    Filed: January 20, 2012
    Publication date: May 17, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Toshio TAKAGI, Hiroshi KANEKO, Teruo IWATA, Tamaki TAKEYAMA, Akinobu KAKIMOTO