Including Acidic Agent Patents (Class 134/3)
  • Patent number: 8603320
    Abstract: A method and apparatus are provided for generating and applying a sanitizing liquid. The method includes moving a mobile floor cleaning device along a floor and electrochemically activating a liquid on the mobile floor cleaning device by passing the liquid through a functional generator having first and second electrode chambers that are separated by an ion exchange membrane such that the liquid activated in the first electrode chamber comprises O3 molecules. Substantially all of the liquid activated in the first and second electrode chambers are dispensed from the device within 5 seconds of the time at which the liquids are activated by the functional generator without an intermediate step of storing either of the liquids produced in the first or second electrode chambers. At least some of the dispensed liquid is recovered from the floor with a recovery device carried by the mobile floor cleaning device.
    Type: Grant
    Filed: September 16, 2011
    Date of Patent: December 10, 2013
    Assignee: Tennant Company
    Inventor: Bruce F. Field
  • Publication number: 20130319461
    Abstract: Disclosed is a recycling method for waste ceramic filters, and the recycling method includes the steps of heating a waste ceramic filter that no longer has the oil filtering effect, applying an acid to the waste ceramic filter to remove unwanted substances attached on the filter, performing a washing or dewatering step to remove any acid solution remained on the ceramic filter, and performing a drying step to dry the ceramic filter.
    Type: Application
    Filed: May 30, 2013
    Publication date: December 5, 2013
    Inventors: Jenn-Shing WANG, Rui-Dong LI, Yu-Ching WANG, Jia-Yu WU
  • Publication number: 20130323589
    Abstract: A current collector for an electrochemical element including an aluminum foil showing a peak in the range between 945 cm?1 and 962 cm?1 in measurement of a surface layer of the aluminum foil by Fourier transform infrared spectroscopy. The current collector can be obtained by a manufacturing method which includes the steps of: preparing an aluminum foil material; washing a surface of the aluminum foil material with a chemical solution capable of dissolving aluminum such as hydrochloric acid, aqueous nitric acid, aqueous sulfuric acid, aqueous solutions of alkali metal hydroxides and aqueous solutions of alkaline earth metal hydroxides; and optionally heat treating the aluminum foil at 70 to 200° C. and/or forming a coated layer including an electrically conducive material, on one or both surfaces of the aluminum foil.
    Type: Application
    Filed: February 10, 2012
    Publication date: December 5, 2013
    Applicant: SHOWA DENKO K.K.
    Inventors: Tomofumi Yamaguchi, Masahiro Ohmori, Hitoshi Yokouchi
  • Publication number: 20130323890
    Abstract: A method for cleaning residues from a semiconductor substrate during a nickel platinum silicidation process is disclosed, including a multi-step residue cleaning, including exposing the substrate to an aqua regia solution, followed by an exposure to a solution having hydrochloric acid and hydrogen peroxide. The SC2 solution can further react with remaining platinum residues, rendering it more soluble in an aqueous solution and thereby dissolving it from the surface of the substrate.
    Type: Application
    Filed: July 29, 2013
    Publication date: December 5, 2013
    Applicants: Intermolecular Inc.
    Inventors: Anh Duong, Clemens Fitz, Olov Karlsson
  • Publication number: 20130316192
    Abstract: A method is shown for surface-treating a protectively coated substrate (1), more particularly steel strip, for the purpose of enhancing the strength of adhesion with an adhesive (10), where the at least partly multi-phase protective coat (2) comprises at least zinc and magnesium. In order to provide a particular suitability for adhesive bonding, it is proposed that the protective coat (2) be subjected to a pickling treatment, directed substantially at at least one intermetallic Zn—Mg phase (4) of the protective coat (2), with acidic pickle, more particularly acid.
    Type: Application
    Filed: January 5, 2012
    Publication date: November 28, 2013
    Applicant: VOESTALPINE STAHL GMBH
    Inventors: Karl-Heinz Stellnberger, Christian K. Riener, Gerald Luckeneder, Edmund Holzer, Harald Haslinger, Josef Hagler
  • Publication number: 20130306102
    Abstract: A method of manufacturing a semiconductor device includes: holding a semiconductor substrate with a surface inclined with respect to the vertical direction and the horizontal direction; and immersing the semiconductor substrate in a cleaning solution including an acid.
    Type: Application
    Filed: July 26, 2013
    Publication date: November 21, 2013
    Applicant: FUJITSU LIMITED
    Inventors: Shirou OZAKI, Masayuki TAKEDA, Norikazu NAKAMURA, Junichi KON
  • Publication number: 20130291891
    Abstract: A silicon wafer after being cleaned by using a cleaning liquid is rinsed by using carbonic water. According to such a silicon wafer cleaning method, generation of static due to a rinsing treatment is not caused, so that an electrostatic breakdown is not caused, adhesion of dirt to a cleaned silicon wafer surface due to the static is not caused, adhesion of metal impurities can be prevented in the rinsing treatment of the silicon wafer and, while giving consideration to the cost, furthermore, a rinsing treatment using a clean rinsing liquid free from causing any residue can be performed.
    Type: Application
    Filed: November 11, 2011
    Publication date: November 7, 2013
    Applicant: KURITA WATER INDUSTRIES LTD.
    Inventors: Takaaki Chuuman, Takahiro Kawakatsu, Katsunobu Kitami, Hiroshi Morita
  • Patent number: 8574370
    Abstract: The present invention relates to the use of at least one alkane sulphonic acid, with formula R—SO3H, where R represents a saturated, linear or branched hydrocarbon chain, comprising 1 to 4 atoms of carbon for removing rust from all types of surface, in particular from metal surfaces, specifically iron, steel and others. The invention also relates to a method for cleaning rust from all types of surfaces using at least one alkane sulphonic acid.
    Type: Grant
    Filed: November 27, 2009
    Date of Patent: November 5, 2013
    Assignee: Arkema France
    Inventors: Jean-Alex Laffitte, Bernard Monguillon
  • Publication number: 20130276824
    Abstract: A process for cleaning a compound semiconductor wafer; the compound semiconductor wafer comprises, taking gallium arsenide (GaAs) as a representative, a group III-V compound semiconductor wafer. The process comprises the following steps: 1) treating the wafer with a mixture of dilute ammonia, hydrogen peroxide and water at a temperature not higher than 20° C.; 2) washing the wafer with deionized water; 3) treating the wafer with an oxidant; 4) washing the wafer with deionized water; 5) treating the wafer with a dilute acid solution or a dilute alkali solution; 6) washing the wafer with deionized water; and 7) drying the resulting wafer. The process can improve the cleanliness, micro-roughness and uniformity of the wafer surface.
    Type: Application
    Filed: October 14, 2011
    Publication date: October 24, 2013
    Applicant: BEIJING TONGMEI XTAL TECHNOLOGY CO., LTD.
    Inventors: Diansheng Ren, Qinghui Liu
  • Publication number: 20130276822
    Abstract: Polysilicon granules can be cleaned, rinsed and dried by hyperbaric superheated liquid and superheated steam. The superheated liquid can be used to rinse and heating the polysilicon granules. A slow drain can be open to remove the superheated liquid. A fast drain then can be open, preferably to atmosphere, to allow steam to vent through bottom. The fast drain can function as a drying process, vaporizing water droplets down the drain with the escaping steam.
    Type: Application
    Filed: April 18, 2013
    Publication date: October 24, 2013
    Applicant: Advanced Wet Technologies GmbH
    Inventor: Richard W. Plavidal
  • Publication number: 20130276823
    Abstract: Silicon plates can be cleaned, rinsed and dried by hyperbaric superheated liquid and superheated steam. The superheated liquid can be used to clean and rinse the silicon plates after being saw from a silicon block. A slow drain can be open to remove the superheated liquid. A fast drain then can be open, preferably to atmosphere, to allow steam to vent through bottom. The fast drain can function as a drying process, vaporizing water droplets down the drain with the escaping steam.
    Type: Application
    Filed: April 24, 2013
    Publication date: October 24, 2013
    Applicant: Advanced Wet Technologies GmbH
    Inventor: Richard W. Plavidal
  • Publication number: 20130276825
    Abstract: One exemplary embodiment can be a process for treating an interior of equipment for an isomerization unit. Generally, the isomerization unit includes at least one of a drying zone, an isomerization reaction zone, and a stabilizer zone, for receiving a feed stream. Usually, the feed stream includes one or more C4-C8 hydrocarbons. The process can include combining an anhydrous hydrogen stream and anhydrous organic chloride stream to form a hydrogen chloride feedstock, and passing the hydrogen chloride feedstock to a reaction zone containing a catalyst including at least one of nickel, palladium, and platinum on an alumina support to form a hydrogen chloride stream, and passing the hydrogen chloride stream upstream of the isomerization reaction zone.
    Type: Application
    Filed: June 20, 2013
    Publication date: October 24, 2013
    Inventors: Ralph Charles Norton, Dana K. Sullivan, Jocelyn C. Daguio
  • Publication number: 20130255718
    Abstract: A substrate processing method includes a rinsing step of supplying water of a first temperature to a surface of a silicon substrate to apply a rinsing process using the water to the silicon substrate surface, a second temperature water supplying (coating) step of supplying water of a second temperature lower than the first temperature to the silicon substrate surface after the rinsing step, and a drying step of rotating the silicon substrate after the second temperature water supplying step to spin off the water on the silicon substrate surface to a periphery of the silicon substrate and thereby dry the silicon substrate.
    Type: Application
    Filed: December 13, 2012
    Publication date: October 3, 2013
    Applicant: DAINIPPON SCREEN MFG. CO., LTD.
    Inventor: Hiroaki TAKAHASHI
  • Patent number: 8545639
    Abstract: A method of cleaning a surface of a component of a plasma chamber, wherein the component has an aluminum or anodized aluminum surface, the method including the steps of: soaking the surface of the component in a diluted sulfuric peroxide (DSP) solution; spray rinsing the surface with water following removal of the surface from the DSP solution; soaking the surface in a dilute nitric acid (HNO3) solution; spray rinsing the surface with water following removal of the surface from the dilute nitric acid solution; and repeating at least twice the steps of soaking the surface in dilute nitric acid followed by spray rinsing the surface.
    Type: Grant
    Filed: October 31, 2011
    Date of Patent: October 1, 2013
    Assignee: Lam Research Corporation
    Inventors: Hong Shih, John Daugherty, Dean J. Larson, Tuochuan Huang, Armen Avoyan, Jeremy Chang, Sivakami Ramanathan, Robert Anderson, Yan Fang, Duane Outka, Paul Mulgrew
  • Publication number: 20130252427
    Abstract: Substrates for solar cells are prepared by the reverse of the standard RCA clean. The substrates are first cleaned in RCA-2 solution and then in RCA-1 solution. A pyramids rounding step using HF/HNO3 solution is inserted between the two RCA clean procedures. This solves all the issues relating to surface contaminations and sharp areas. It also avoids the stain layer on the surface to some extent by RCA-1 treatment. A thin layer of amorphous or micro-crystalline intrinsic silicon may be deposited to passivate the surface.
    Type: Application
    Filed: March 26, 2012
    Publication date: September 26, 2013
    Applicant: SUNPREME, LTD.
    Inventor: Guanghua Song
  • Patent number: 8535448
    Abstract: A method of removing a metal protective layer from a surface of a reactor component comprising treating the metal protective layer with one or more chemical removal agents to remove at least a portion of the metal protective layer from the reactor component. A method of removing a metal protective layer from a surface of a reactor component comprising treating the metal protective layer to remove the metal protective layer from the reactor component, and determining a thickness of the reactor component following treatment.
    Type: Grant
    Filed: July 11, 2011
    Date of Patent: September 17, 2013
    Assignee: Chevron Phillips Chemical Company LP
    Inventors: Dennis L. Holtermann, Tin-Tack Peter Cheung, Christopher D. Blessing, Lawrence E. Huff, Joseph Bergmeister, III, Robert L. Hise, Geoffrey E. Scanlon, David W. Dockter
  • Publication number: 20130233344
    Abstract: The present invention is a method for cleaning a semiconductor wafer comprising the steps of cleaning the semiconductor wafer with an SC1 cleaning solution, cleaning the semiconductor wafer cleaned by the SC1 cleaning solution with hydrofluoric acid, and cleaning the semiconductor wafer cleaned by the hydrofluoric acid with ozonated water having an ozone concentration of 3 ppm or more, wherein an etching removal of the semiconductor wafer with the SC1 cleaning solution is made 0.1 to 2.0 nm, whereby a method for cleaning a semiconductor wafer in which worsening of the surface roughness of the wafer due to cleaning can be reduced and cleaning of the wafer can be carried out effectively can be provided.
    Type: Application
    Filed: November 1, 2011
    Publication date: September 12, 2013
    Applicant: SHIN-ETSU HANDOTAI CO., LTD.
    Inventors: Hitoshi Kabasawa, Tatsuo Abe
  • Publication number: 20130233343
    Abstract: Provided is a method for a resist removal system comprising a processing chamber and treatment liquid delivery system for single substrate processing. A primary stripping chemical is flowed in the treatment liquid delivery system at a primary temperature and flow rate; a secondary stripping chemical is injected at a first mixing point at a secondary temperature and flow rate. A tertiary stripping chemical is injected at a second mixing point at a tertiary temperature and a tertiary flow rate. The treatment liquid is dispensed onto a portion of the surface of the substrate wherein one or more of the primary temperature, secondary temperature, tertiary temperature, the primary flow rate, the secondary flow rate, and the tertiary flow rate are adjusted to meet a target strip rate and selectivity of strip over etch of silicon nitride and silicon oxide.
    Type: Application
    Filed: March 6, 2012
    Publication date: September 12, 2013
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: IAN J. BROWN
  • Patent number: 8524006
    Abstract: A system and method are provided for reclaiming an enriched radioisotope starting material from a target body. The system and method enable reclaiming the starting material in a relatively short time (e.g., several hours) after the target body's bombardment with energetic particles, greatly simplifying the target body's chemical processing, as well as reducing the cost of such processing (e.g., reducing the need for costly long-term storage). Specifically, a chemical protective layer is disposed between a radioisotope starting material and a base material of the target body. After the target body is irradiated with a suitable source (e.g., particle accelerator), then the irradiated radioisotope starting material can be removed without removing the base material due to the protection provided by the chemical protective layer. The system and method also enable the operator to obtain three different radioisotopes in a single bombardment of the target body, further reducing cost of radioisotope production.
    Type: Grant
    Filed: April 13, 2012
    Date of Patent: September 3, 2013
    Assignee: Mallinckrodt LLC
    Inventor: William Claude Uhland
  • Publication number: 20130220366
    Abstract: A method for chemical decontamination of an oxide-coated surface of a metal structural part or of a system in a nuclear power plant with several cleaning cycles, involves oxidation steps, in which the oxide layer is treated with an aqueous solution containing an oxidation agent, and a subsequent decontamination step, in which the oxide layer is treated with an aqueous solution of an acid. At least one oxidation step is carried out in an acid solution and at least one oxidation step in an alkaline solution.
    Type: Application
    Filed: August 23, 2012
    Publication date: August 29, 2013
    Applicant: AREVA NP GMBH
    Inventors: RAINER GASSEN, BERTRAM ZEILER
  • Publication number: 20130217234
    Abstract: A cleaning solution is provided. The cleaning solution includes an aliphatic polycarboxylic acid, a chain sulfonic acid substantially less than 4 wt % and an amine containing buffer agent.
    Type: Application
    Filed: March 21, 2013
    Publication date: August 22, 2013
    Applicant: UNITED MICROELECTRONICS CORPORATION
    Inventor: UNITED MICROELECTRONICS CORPORATION
  • Patent number: 8486201
    Abstract: Disclosed is a method for drying a plate-like article; the method including rinsing with an aqueous rinsing liquid with subsequent rinsing with an organic solvent, wherein the organic solvent has a water content of below 20 mass-% wherein the organic solvent is supplied at a solvent temperature, which is at least 30° C. and not higher than 60° C.
    Type: Grant
    Filed: July 1, 2010
    Date of Patent: July 16, 2013
    Assignee: Lam Research AG
    Inventor: Aurelia Plihon
  • Publication number: 20130174868
    Abstract: Provided are a purification method and purification apparatus for an alkaline treatment liquid for a semiconductor substrate, which use adsorption purification means that can purify various alkaline treatment liquids to be used for treating semiconductor substrates for various purposes so as to have an ultrahigh purity, in particular, an Fe concentration in a ppq region, and that is excellent in chemical resistance and mechanical strength. The adsorption purification means is purification means for an alkaline treatment liquid for treating a semiconductor substrate for various purposes at the time of producing, for example, a semiconductor substrate or a semiconductor device.
    Type: Application
    Filed: September 26, 2011
    Publication date: July 11, 2013
    Applicants: UMS CO., LTD., TAMA CHEMICALS CO., LTD.
    Inventors: Hisashi Muraoka, Toshitsura Cho
  • Patent number: 8480809
    Abstract: A method is provided for receiving the wafer on a support, the support being configured for movement along a direction. While moving the wafer, dispensing a cleaning material to clean contaminants from the surface of the wafer, the dispensing applied as a film over a diameter length of the wafer. The cleaning material contains a cleaning liquid, a plurality of solid components, and polymers of a polymeric compound. Each of the plurality of solid components and polymers being greater than zero and less than 3% of the cleaning material, and wherein the polymers become soluble in the cleaning liquid and the solubilized polymers having long polymer chains that capture and entrap solid components and contaminants in the cleaning liquid. Then, rinsing the film off of the wafer with a rinsing meniscus. The rinsing meniscus applied along the diameter length of the wafer and the film is rinsed after the dispensing.
    Type: Grant
    Filed: January 16, 2012
    Date of Patent: July 9, 2013
    Assignee: Lam Research Corporation
    Inventors: Ji Zhu, Arjun Mendiratta, David Mui
  • Patent number: 8475598
    Abstract: A process for forming a coated substrate comprises providing a nickel base alloy substrate, depositing a chromium coating onto the nickel base alloy substrate and diffusing chromium from said coating into the substrate, applying a MCrAlY coating onto the nickel base alloy substrate and heat treating the substrate with the deposited chromium and the MCrAlY coating so that chromium diffuses into an outer region of the substrate. Further, in accordance with the present invention, a strip process for removing a coating from a substrate broadly comprises the steps of providing a nickel base alloy substrate having chromium diffused into an outer region and a MCrAlY coating deposited over the substrate with the diffused chromium and removing the MCrAlY coating by immersing the nickel base alloy substrate in an acid solution containing a sulfuric acid-hydrochloric acid mixture in water.
    Type: Grant
    Filed: December 20, 2011
    Date of Patent: July 2, 2013
    Assignee: United Technologies Corporation
    Inventors: Alan D. Cetel, Curtis Heath Riewe, Dwayne A. Braithwaite
  • Patent number: 8460474
    Abstract: A method of cleaning semiconductor wafers using an acid cleaner followed by an alkaline cleaner to clean contaminants from the materials is provided. The acid cleaner removes substantially all of the metal contaminants while the alkaline cleaner removes substantially all of the non-metal contaminants, such as organics and particulate material.
    Type: Grant
    Filed: January 13, 2010
    Date of Patent: June 11, 2013
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Robert K. Barr, Raymond Chan, Matthew L. Moynihan
  • Patent number: 8454754
    Abstract: A cleaning method includes: producing an oxidizing solution by electrolysis of sulfuric acid; and cleaning a workpiece with the oxidizing solution. The oxidizing solution is heated by heat of mixing to clean the workpiece. A method for manufacturing an electronic device includes: producing a workpiece; producing an oxidizing solution by electrolysis of sulfuric acid; and cleaning the workpiece with the oxidizing solution. The oxidizing solution is heated by heat of mixing to clean the workpiece.
    Type: Grant
    Filed: February 8, 2008
    Date of Patent: June 4, 2013
    Assignees: Shibaura Mechatronics Corporation, Chlorine Engineers Corp. Ltd., Kabushiki Kaisha Toshiba
    Inventors: Yukihiro Shibata, Naoya Hayamizu, Masaaki Kato, Nobuo Kobayashi
  • Publication number: 20130125923
    Abstract: A method for cleaning platinum residues from a surface of a substrate is provided. The method initiates with exposing the surface to a first solution containing a mixture of nitric acid and hydrochloric acid. Then, the surface is exposed to a second solution containing hydrochloric acid.
    Type: Application
    Filed: January 10, 2013
    Publication date: May 23, 2013
    Applicant: Intermolecular Inc.
    Inventor: Intermolecular Inc.
  • Publication number: 20130122670
    Abstract: The invention discloses a method for cleaning residues from a semiconductor substrate during a nickel platinum silicidation process. Post silicidation residues of nickel and platinum may not be removed adequately just by an aqua regia solution (comprising a mixture of nitric acid and hydrochloric acid). Therefore, embodiments of the invention provide a multi-step residue cleaning, comprising exposing the substrate to an aqua regia solution, followed by an exposure to a chlorine gas or a solution comprising dissolved chlorine gas, which may further react with remaining platinum residues, rendering it more soluble in aqueous solution and thereby dissolving it from the surface of the substrate.
    Type: Application
    Filed: November 14, 2011
    Publication date: May 16, 2013
    Applicant: Intermolecular, Inc.
    Inventors: Anh Duong, John Foster, Olov Karlsson, James Mavrinac, Usha Raghuram
  • Publication number: 20130109607
    Abstract: An anti-corrosive agent for washing of a metal with an acid, which comprises an amphoteric polymeric compound (P) having at least one cationic constituent unit (A) having a specific structure, at least one anionic constituent unit (B) having a specific structure and a specific sulfur-containing constituent unit (C).
    Type: Application
    Filed: June 20, 2011
    Publication date: May 2, 2013
    Applicant: NITTO BOSEKI CO., LTD.
    Inventors: Hiroyuki Takayama, Yasuhito Nakata
  • Publication number: 20130104930
    Abstract: A method of cleaning a surface of a component of a plasma chamber, wherein the component has an aluminum or anodized aluminum surface, the method including the steps of: soaking the surface of the component in a diluted sulfuric peroxide (DSP) solution; spray rinsing the surface with water following removal of the surface from the DSP solution; soaking the surface in a dilute nitric acid (HNO3) solution; spray rinsing the surface with water following removal of the surface from the dilute nitric acid solution; and repeating at least twice the steps of soaking the surface in dilute nitric acid followed by spray rinsing the surface.
    Type: Application
    Filed: October 31, 2011
    Publication date: May 2, 2013
    Applicant: Lam Research Corporation
    Inventors: Hong Shih, John Daugherty, Dean J. Larson, Tuochuan Huang, Armen Avoyan, Jeremy Chang, Sivakami Ramanathan, Robert Anderson, Yan Fang, Duane Outka, Paul Mulgrew
  • Publication number: 20130109156
    Abstract: The present invention affords methods of manufacturing InP substrates, methods of manufacturing epitaxial wafers, InP substrates, and epitaxial wafers whereby deterioration of the electrical characteristics can be kept under control, and at the same time, deterioration of the PL characteristics can be kept under control. An InP substrate manufacturing method of the present invention is provided with the following steps. An InP substrate is prepared (Steps S1 through S3). The InP substrate is washed with sulfuric acid/hydrogen peroxide (Step S5). After the step of washing with sulfuric acid/hydrogen peroxide (Step S5), the InP substrate is washed with phosphoric acid (Step S6).
    Type: Application
    Filed: December 18, 2012
    Publication date: May 2, 2013
    Applicant: SUMITOMO ELECTRIC INDUSTRIES, LTD.
    Inventor: Sumitomo Electric Industries, Ltd.
  • Publication number: 20130098393
    Abstract: A method for cleaning platinum residues from a surface of a substrate is provided. The method initiates with exposing the surface to a first solution containing a mixture of nitric acid and hydrochloric acid. Then, the surface is exposed to a second solution containing hydrochloric acid.
    Type: Application
    Filed: October 19, 2011
    Publication date: April 25, 2013
    Applicant: INTERMOLECULAR, INC.
    Inventors: Anh Duong, Sean Barstow, Olov Karlsson, Bei Li, James Mavrinac
  • Publication number: 20130095657
    Abstract: This disclosure relates to a post-etch treating method. An opening is formed by etching a stacked structure of a dielectric layer, an intermediate layer and a metal hard mask layer arranged in order from bottom to top. The treating method sequentially comprises steps of: performing a first cleaning process on the stacked structure with the opening so as to remove at least a part of the metal hard mask layer; and performing a second cleaning process on the stacked structure with the opening so as to remove etching residues.
    Type: Application
    Filed: December 7, 2011
    Publication date: April 18, 2013
    Applicant: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (BEIJING) CORPORATION
    Inventors: HAIYANG ZHANG, Minda Hu, Junqing Zhou, Dongjiang Wang
  • Publication number: 20130084386
    Abstract: A method of manufacturing a magnetic disk glass substrate has a cleaning step of cleaning the glass substrate. In the cleaning step, the cleaning is performed under an acidic condition using a cleaning liquid containing oxalate ions and bivalent iron ions. In parallel with the cleaning step or before or after the cleaning step, trivalent iron ions generated by oxidation of the bivalent iron ions contained in the cleaning liquid are reduced by ultraviolet irradiation.
    Type: Application
    Filed: September 28, 2012
    Publication date: April 4, 2013
    Applicant: HOYA CORPORATION
    Inventor: HOYA CORPORATION
  • Publication number: 20130081935
    Abstract: Processes for preparing commercial quantities of a stable, pharmaceutically acceptable sevoflurane substantially free of impurities are claimed. In another embodiment, a process for removing reactive metal salts from the surface of metallic equipment used in the distillation of sevoflurane and rendering a non-inert metallic surface of the metallic equipment inert.
    Type: Application
    Filed: November 21, 2012
    Publication date: April 4, 2013
    Applicant: HALOCARBON PRODUCTS CORPORATION
    Inventor: Halocarbon Products Corporation
  • Publication number: 20130074871
    Abstract: A pickling process designed for pickling a metal strip such as a stainless steel strip reduces the amount of HF and/or HNO3. The strip is immersed in at least one first pickling tub that contains a mixture of an acid such as H2SO4, an excess of at least one oxidizing agent, and includes electrodes that may apply a current to the strip that runs through the mixture.
    Type: Application
    Filed: September 26, 2012
    Publication date: March 28, 2013
    Applicant: AK STEEL PROPERTIES, INC.
    Inventor: AK Steel Properties, Inc.
  • Publication number: 20130074870
    Abstract: One exemplary embodiment can be a process for treating an interior of equipment for an isomerization unit. Generally, the isomerization unit includes at least one of a drying zone, an isomerization reaction zone, and a stabilizer zone, for receiving a feed stream. Usually, the feed stream includes one or more C4-C8 hydrocarbons. The process can include combining an anhydrous hydrogen stream and anhydrous organic chloride stream to form a hydrogen chloride feedstock, and passing the hydrogen chloride feedstock to a reaction zone containing a catalyst including at least one of nickel, palladium, and platinum on an alumina support to form a hydrogen chloride stream, and passing the hydrogen chloride stream upstream of the isomerization reaction zone.
    Type: Application
    Filed: September 23, 2011
    Publication date: March 28, 2013
    Applicant: UOP, LLC.
    Inventors: Ralph Charles Norton, Dana K. Sullivan, Jocelyn Daguio
  • Publication number: 20130068248
    Abstract: The present disclosure provides a method including providing a chamber having a first inlet and a second inlet. A solution of a de-ionized (DI) water and an acid (e.g., a dilute acid) is provided to the chamber via the first inlet. A carrier gas (e.g., N2) is provided to the chamber via the second inlet. The solution and the carrier gas are in the chamber and then from the chamber onto a single semiconductor wafer. In an embodiment, the solution includes a dilute HCl and DI water.
    Type: Application
    Filed: September 15, 2011
    Publication date: March 21, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd., ("TSMC")
    Inventors: Ming-Hsi Yeh, Kuo-Sheng Chuang, Ying-Hsueh Chang Chien, Chi-Ming Yang, Chin-Hsiang Lin
  • Publication number: 20130068249
    Abstract: A process is disclosed in which hot rolled or cold rolled steel articles, such as tube, pipe, plate and sheet are cleaned of mill scale, surface rust and oils. The cleaning compositions compromise a solution mixture of diluted hydrochloric acid, a non-anionic surfactant, a non-ionic, non denaturing detergent, sodium carbonate, a water conditioner and water and a drying compound of an aqueous alcohol solution. The solutions are used independently within the 5 step process that cleans, rinses and removes all moisture from the steel to provide a surface that optimizes subsequent manufacturing a fabrication process including welding, laser cutting and the coating process.
    Type: Application
    Filed: May 20, 2011
    Publication date: March 21, 2013
    Inventors: John Wayne Dyck, Matthew Maris Mathews
  • Patent number: 8399394
    Abstract: Systems and methods for processing glycerol into one or more useful products are provided. The method can include decreasing a pH of a mixture comprising glycerol and fatty acids to produce an emulsion comprising a glycerol-rich portion and a fatty acids-rich portion. At least a portion of the glycerol-rich portion can be reacted with at least one of an oxidant and a catalyst at conditions sufficient to produce a reacted product comprising glyceric acid, oxalic acid, glycolic acid, formic acid, or any combination thereof.
    Type: Grant
    Filed: January 3, 2012
    Date of Patent: March 19, 2013
    Assignee: Envirosource, Inc.
    Inventor: Spencer S. Awbrey
  • Patent number: 8398779
    Abstract: Non-metallic deposits are selectively removed from aluminum containing substrates such as aluminum faceplates using a selective deposition removal (SDR) solution. The SDR solution does not substantially etch the faceplate holes, thereby preserving the hole diameter integrity and increasing the number of times the faceplate may be cleaned or refurbished while remaining within processing hole diameter tolerances. In an embodiment, the SDR solution comprises, in wt % of the solution, 15.5%+/?2% HF or buffered HF acid, 3.8%+/?0.5% NH4F pH buffer, 59.7%+/?5% ethylene glycol, and the balance H2O.
    Type: Grant
    Filed: February 19, 2010
    Date of Patent: March 19, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Liyuan Bao, Anbei Jiang, Sio On Lo, Yukari Nishimura, Joseph F. Sommers, Samantha S. H. Tan
  • Patent number: 8393381
    Abstract: A cast metal article is formed with an internal cavity. The internal cavity is formed by a ceramic core which is removed from the cast metal article to leave the cavity. The ceramic core is formed with a cavity to facilitate removal of the core from the cast metal article. To remove the core from the cast metal article, the cavity in the core is filled with a leaching liquid.
    Type: Grant
    Filed: May 18, 2011
    Date of Patent: March 12, 2013
    Assignee: PCC Airfoils, Inc.
    Inventors: Lawrence D. Graham, James Barrett, Don Deptowicz
  • Publication number: 20130052774
    Abstract: Disclosed is a method for surface-treating a semiconductor substrate to thereby reduce loss of minority carriers caused by surface recombination and improve the lifetime. In the method, a semiconductor substrate is prepared. An acid additive and an alkaline additive are added to water to obtain an aqueous solution having a pH of not more than 7. The aqueous solution comprises no hydrofluoric acid. A dangling bond at a surface of the semiconductor substrate is hydrogen-terminated. The surface, at which the dangling bond has been hydrogen-terminated, is brought into contact with the aqueous solution.
    Type: Application
    Filed: June 29, 2011
    Publication date: February 28, 2013
    Applicant: KYOCERA CORPORATION
    Inventor: Kenichi Kurobe
  • Publication number: 20130025625
    Abstract: Disclosed is a process for cleaning polycrystalline silicon chunks in an acidic cleaning bath, wherein: (a) the cleaning includes several cleaning cycles, (b) a particular amount of acid is consumed in each cycle, (c) a computer-controlled dosage system integrator adds up those amounts of acid consumed in each cycle to give a current total consumption of acid in the bath, and (d) on attainment of a total consumption of acid in the bath corresponding to an optimal dosage of the dosage system, the dosage system supplies this optimal dosage of unconsumed acid withdrawn from a reservoir vessel to the bath. Another process for cleaning polycrystalline silicon chunks in an acidic cleaning bath includes an acid circuit in which acid is circulated, wherein the ratio of amount of acid circulated in liters to the mass of polysilicon chunks present in the bath in kg is greater than 10.
    Type: Application
    Filed: July 11, 2012
    Publication date: January 31, 2013
    Applicant: WACKER CHEMIE AG
    Inventors: Hanns WOCHNER, Thomas GAILER, Rudolf KELLNER
  • Publication number: 20130014780
    Abstract: A method of removing a metal protective layer from a surface of a reactor component comprising treating the metal protective layer with one or more chemical removal agents to remove at least a portion of the metal protective layer from the reactor component. A method of removing a metal protective layer from a surface of a reactor component comprising treating the metal protective layer to remove the metal protective layer from the reactor component, and determining a thickness of the reactor component following treatment.
    Type: Application
    Filed: July 11, 2011
    Publication date: January 17, 2013
    Applicant: CHEVRON PHILLIPS CHEMICAL COMPANY LP
    Inventors: Dennis L. HOLTERMANN, Tin-Tack Peter CHEUNG, Christopher D. BLESSING, Lawrence E. HUFF, Joseph BERGMEISTER, III, Robert L. HISE, Geoffrey E. SCANLON, David W. Dockter
  • Patent number: 8353990
    Abstract: A process is provided for chemically decontaminating the surface of a metallic component. In a first treatment step, an oxide layer formed on the component by corrosion of the material of the component is detached from the surface of the component with a first aqueous treatment solution containing an organic decontamination acid. In a subsequent second treatment step, the surface which is at least partially freed of the oxide layer is treated with an aqueous solution containing an active component for removing particles which adhere to the surface. The active component is formed of at least one anionic surfactant from the group including sulphonic acids, phosphonic acids, carboxylic acids and salts of those acids.
    Type: Grant
    Filed: August 17, 2011
    Date of Patent: January 15, 2013
    Assignee: Areva NP GmbH
    Inventors: Rainer Gassen, Luis Sempere Belda, Werner Schweighofer, Bertram Zeiler
  • Publication number: 20130008463
    Abstract: An object of the invention is to remove effectively metallic contaminants adhering to the glass substrate surfaces without increasing roughness of the glass substrate surfaces in the glass substrate for a magnetic disk. In a manufacturing method of a glass substrate for a magnetic disk, a cleaning step comprising a treatment of contacting the glass substrate with a cleaning liquid containing peroxodisulfate and having a pH of not less than 2 and not more than 4 is appended. In addition, an example of the cleaning liquid can be prepared by adding sodium peroxodisulfate to an acidic solution.
    Type: Application
    Filed: March 31, 2011
    Publication date: January 10, 2013
    Applicant: HOYA CORPORATION
    Inventors: Takuhiro Hirakawa, Yasunari Hirano, Kouichi Tamoto, Tomoyuki Yamaguchi
  • Publication number: 20130012104
    Abstract: The present invention has an object to remove effectively metallic contaminants adhering to the glass substrate surfaces without increasing roughness of the glass substrate surfaces in the glass substrate for a magnetic disk. In a manufacturing method of a glass substrate for a magnetic disk having a cleaning step of the glass substrate, cleaning step having a treatment of contacting the glass substrate with a cleaning liquid containing oxalate and divalent iron ions and having a pH of not less than 2 and not more than 4. The divalent iron ions are added by adding ammonium iron (II) sulfate, iron (II) sulfate and iron oxalate (II) to oxalic acid.
    Type: Application
    Filed: March 31, 2011
    Publication date: January 10, 2013
    Applicant: HOYA CORPORATION
    Inventors: Tomoyuki Yamaguchi, Yasunari Hirano, Takuhiro Hirakawa
  • Patent number: 8343283
    Abstract: The present invention discloses a method for cleaning a substrate comprising contacting the substrate in a cleaning cycle with an aqueous cleaning solution comprising an aqueous diluent and a detergent composition, the detergent composition comprising a glycolic and/or lactic acid oligomer with an average degree of polymerization between 1.8 and 6. Preferably, the substrate is contacted in a rinse cycle with an aqueous rinse which is substantially free of an intentionally added rinse agent or fabric softener.
    Type: Grant
    Filed: April 16, 2009
    Date of Patent: January 1, 2013
    Assignee: Purac Biochem BV
    Inventor: Rudolf E. Nijburg