For Detection Or Control Of Pressure Or Flow Of Etchant Gas Patents (Class 156/345.26)
  • Publication number: 20120282780
    Abstract: A method for etching features into an etch layer is provided. A patterned mask is formed over the etch layer, wherein the patterned mask is of a high etch rate photoresist material, wherein the patterned mask has patterned mask features. A protective layer is deposited on the patterned mask of high etch rate photoresist material by performing a cyclical deposition, wherein each cycle, comprises a depositing phase for depositing a deposition layer over the exposed surfaces, including sidewalls of the patterned mask of high etch rate photoresist material and a profile shaping phase for providing vertical sidewalls. Features are etched into the etch layer using the protective layer as a mask. The protective layer is removed.
    Type: Application
    Filed: December 19, 2008
    Publication date: November 8, 2012
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Andrew R. Romano, S. M. Reza Sadjadi
  • Patent number: 8303763
    Abstract: Apparatus and methods are provided for monitoring a pulsed RF bias signal applied to a chuck in a processing chamber. One method includes operations for detecting voltage values of individual pulses of the pulsed RF bias voltage, and for determining the time for sampling the value of each individual detected pulse. At the sampling time for each pulse, a particular voltage value of the respective individual detected pulse is sampled and the particular voltage value is held. Each particular voltage value represents a characteristic peak-to-peak voltage value of each individual detected pulse. A feedback signal representing the characteristic peak-to-peak voltage value for a voltage envelope of one of the individual detected pulses is generated, and the voltage of the pulsed RF bias voltage signal applied to the chuck is adjusted according to a difference between the feedback signal and a desired voltage value of the pulsed RF bias voltage signal.
    Type: Grant
    Filed: April 25, 2012
    Date of Patent: November 6, 2012
    Assignee: Lam Research Corporation
    Inventors: Andras Kuthi, Stephen Hwang, James C. Vetter, Greg Eilenstine, Rongping Wang, Tuan Ngo
  • Publication number: 20120267340
    Abstract: A disclosed film deposition method includes steps of loading plural substrates each of which includes a pattern including a concave part in a reaction chamber in the form of shelves; depositing a silicon oxide film on the plural substrates by supplying a silicon-containing gas and an oxygen-containing gas to the reaction chamber; etching the silicon oxide film deposited on the plural substrates in the step of depositing by supplying a fluorine-containing gas and an ammonia gas to the reaction chamber; and alternately repeating the step of depositing and the step of etching.
    Type: Application
    Filed: March 15, 2012
    Publication date: October 25, 2012
    Applicant: Tokyo Electron Limited
    Inventors: Akinobu KAKIMOTO, Satoshi Takagi, Toshiyuki Ikeuchi, Katsuhiko Komori, Kazuhide Hasebe
  • Publication number: 20120266817
    Abstract: A cleaning control apparatus capable of performing a cleaning process efficiently regardless of qualities and thicknesses of films formed in a process tube and a gas supply nozzle. The cleaning control apparatus employs cleaning request signal output units configured to output cleaning request signals requesting cleaning processes of a silicon-containing gas supply system and nitriding source gas supply system when accumulated amounts of the molecules of the silicon-containing gas and the nitriding source gas exceeds preset values.
    Type: Application
    Filed: July 5, 2012
    Publication date: October 25, 2012
    Inventor: Tomohide KATO
  • Patent number: 8293013
    Abstract: An apparatus for deploying two fluids separately into a reaction chamber is provided. The apparatus includes a first distribution network that is formed on a plate having a distribution face and a dispensing face. The first distribution network is defined by a plurality of recessed channels on the distribution face. The plurality of recessed channels includes a plurality of thru-ports that extend from the plurality of recessed channels to the dispensing face. The apparatus further includes a second distribution network that has passages formed below the plurality of recessed channels and above the dispensing face. A first set of ports extends from the passages to the distribution face and a second set of ports extends from a top surface of the distribution face to the dispensing face.
    Type: Grant
    Filed: December 30, 2008
    Date of Patent: October 23, 2012
    Assignee: Intermolecular, Inc.
    Inventor: Jay Brian DeDontney
  • Patent number: 8282736
    Abstract: A plasma processing chamber has a lower liner with an integrated flow equalizer. In an etching process, the processing gases may be unevenly drawn from the processing chamber which may cause an uneven etching of the substrate. The integrated flow equalizer is configured to equalize the flow of the processing gases evacuated from the chamber via the lower liner.
    Type: Grant
    Filed: February 21, 2012
    Date of Patent: October 9, 2012
    Assignee: Applied Materials, Inc.
    Inventors: James D. Carducci, Andrew Nguyen, Ajit Balakrishna, Michael C. Kutney
  • Publication number: 20120247668
    Abstract: Prior to wafer processing, pressure ratio control is executed on a divided flow rate adjustment means so as to adjust the flow rates of divided flows to achieve a target pressure ratio with regard to the pressures in the individual branch passages. As the processing gas from a processing gas supply means is diverted into first and second branch pipings under the pressure ratio control and the pressures in the branch passages then stabilize, the control on the divided flow rate adjustment means is switched to steady pressure control for adjusting the flow rates of the divided flows so as to hold the pressure in the first branch passage at the level achieved in the stable pressure condition. Only after the control is switched to the steady pressure control, an additional gas is delivered into the second branch passage via an additional gas supply means.
    Type: Application
    Filed: June 15, 2012
    Publication date: October 4, 2012
    Applicant: Tokyo Electron Limited
    Inventor: Kenetsu MIZUSAWA
  • Patent number: 8277888
    Abstract: An apparatus for deploying two fluids separately into a reaction chamber is provided. The apparatus includes a first distribution network that is formed on a plate having a distribution face and a dispensing face. The first distribution network is defined by a plurality of recessed channels on the distribution face. The plurality of recessed channels includes a plurality of thru-ports that extend from the plurality of recessed channels to the dispensing face. The apparatus further includes a second distribution network that has passages formed below the plurality of recessed channels and above the dispensing face. A first set of ports extends from the passages to the distribution face and a second set of ports extends from a top surface of the distribution face to the dispensing face.
    Type: Grant
    Filed: December 20, 2011
    Date of Patent: October 2, 2012
    Assignee: Intermolecular, Inc.
    Inventor: Jay Brian Dedontney
  • Publication number: 20120241088
    Abstract: In a cylinder cabinet of an embodiment, when first pressure in a first gas supply pipe is equal to or less than a predetermined value, a pipe of gas supplied to an external apparatus is switched from the first gas supply pipe to a second gas supply pipe, and when a gas flow rate of gas flowing through the external apparatus is equal to or less than a predetermined value, if a residual gas amount of a first gas container connected to the first gas supply pipe is equal to or more than a predetermined value, the pipe is switched from the second gas supply pipe to the first gas supply pipe.
    Type: Application
    Filed: September 21, 2011
    Publication date: September 27, 2012
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Shinji AKIYOSHI
  • Publication number: 20120244715
    Abstract: In a method and system for vapor etching, a material to be etched and an etch resistant material are placed into an etching chamber. Thereafter, a pressure in the etching chamber is adjusted to a desired pressure and the substrate is exposed to an etching gas and a gas that comprises oxygen. The exposure substantially selectively etches the material to be etched while substantially avoiding the etching of the etch resistant material.
    Type: Application
    Filed: December 2, 2010
    Publication date: September 27, 2012
    Applicant: XACTIX, INC.
    Inventors: Kyle S. Lebouitz, Andrew David Johnson, Eugene Karwacki, JR., Suhas Narayan Ketkar, John Neumann, David L. Springer
  • Publication number: 20120238101
    Abstract: A method and an apparatus for etching microstructures and the like that provides improved selectivity to surrounding materials when etching silicon using xenon difluoride (XeF2). Etch selectivity is greatly enhanced with the addition of hydrogen to the process chamber.
    Type: Application
    Filed: September 27, 2010
    Publication date: September 20, 2012
    Inventor: Anthony O'Hara
  • Publication number: 20120227904
    Abstract: According to one embodiment, a cylinder cabinet includes a controller performing control in such a way that when the amount of a residual gas in a first gas container becomes a predetermined amount or smaller, a gas supply pipe that supplies gas is switched from a first gas supply pipe to a second gas supply pipe. Moreover, the controller performs control in such a way that the residual gas in the first gas container is recovered into the recovery container during a period in which the gas is supplied from a second gas container. Furthermore, the controller performs control in such a way that when the first gas container is replaced with a new gas container, the inside of the first gas supply pipe is purged with the residual gas stored in the recovery container.
    Type: Application
    Filed: September 21, 2011
    Publication date: September 13, 2012
    Applicant: Kabushiki Kaisha Toshiba
    Inventor: Shinji AKIYOSHI
  • Patent number: 8262798
    Abstract: The present invention herein provides a shower head whose temperature can be controlled in consideration of the film-forming conditions selected and a thin film-manufacturing device which permits the stable and continuous formation of thin films including only a trace amount of particles while reproducing a good film thickness distribution and compositional distribution, and a high film-forming rate and which is excellent in the productivity and the mass-producing ability as well as a method for the preparation of such a film.
    Type: Grant
    Filed: August 5, 2004
    Date of Patent: September 11, 2012
    Assignee: ULVAC, Inc.
    Inventors: Takakazu Yamada, Takeshi Masuda, Masahiko Kajinuma, Yutaka Nishioka, Masaki Uematsu, Koukou Suu
  • Patent number: 8257546
    Abstract: A method and apparatus for monitoring an etch process. The etch process may be monitored using measurement information (e.g., critical dimensions (CD), layer thickness, and the like) provided ex-situ with respect to the etch process in combination with in-situ monitoring (e.g., spectroscopy, interferometry, scatterometry, reflectometry, and the like) performed during the etch process. The ex-situ measurement information in combination with the in-situ monitoring may be used to monitor for example, an endpoint of an etch process, an etch depth profile of a feature formed on a substrate, fault detection of an integrated circuit manufacturing process, and the like.
    Type: Grant
    Filed: September 29, 2003
    Date of Patent: September 4, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Matthew Fenton Davis, John M. Yamartino, Lei Lian
  • Patent number: 8252116
    Abstract: A seal-protected perimeter partition valve apparatus defines a vacuum and pressure sealed space within a larger space confining a substrate processing chamber with optimized geometry, minimized footprint, and 360° substrate accessibility. A compact perimeter partitioned assembly with seal protected perimeter partition valve and internally contained substrate placement member further provides processing system modularity and substantially minimized system footprint.
    Type: Grant
    Filed: February 4, 2010
    Date of Patent: August 28, 2012
    Assignee: Sundew Technologies, LLC
    Inventor: Ofer Sneh
  • Publication number: 20120199287
    Abstract: Metrology data from a semiconductor treatment system is transformed using multivariate analysis. In particular, a set of metrology data measured or simulated for one or more substrates treated using the treatment system is obtained. One or more essential variables for the obtained set of metrology data is determined using multivariate analysis. A first metrology data measured or simulated for one or more substrates treated using the treatment system is obtained. The first obtained metrology data is not one of the metrology data in the set of metrology data earlier obtained. The first metrology data is transformed into a second metrology data using the one or more of the determined essential variables.
    Type: Application
    Filed: April 11, 2012
    Publication date: August 9, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Vi Vuong, Junwei Bao, Yan Chen, Weichert Heiko, Sebastien Egret
  • Patent number: 8236106
    Abstract: A shower head is provided in a processing chamber for processing a substrate therein. Further, the shower head has a facing surface facing a mounting table for mounting thereon the substrate and serves to supply one or more gases through the facing surface toward the substrate. The shower head includes a central gas supply unit for supplying a first gas through a central portion of the facing surface toward the substrate, a peripheral gas supply unit for supplying a second gas through a peripheral portion of the facing surface toward the substrate and a gas exhaust unit, provided with a plurality of gas exhaust holes formed between the central gas supply unit and the peripheral gas supply unit, for exhausting the first and the second gas from the facing surface.
    Type: Grant
    Filed: March 13, 2009
    Date of Patent: August 7, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Hachishiro Iizuka, Fumiko Kiriishi, Tsuyoshi Komiyama
  • Patent number: 8235001
    Abstract: A substrate processing apparatus and a method for manufacturing a semiconductor device whereby foreign matter can be prevented from being adsorbed on the substrate, by suppressing agitation of foreign matter present in the processing chamber. The substrate processing apparatus comprises a processing chamber for processing a substrate; a processing gas feeding line for feeding a processing gas into the processing chamber; an inert gas feeding line for feeding an inert gas into the processing chamber; an inert gas vent line provided in the inert gas feeding line, for exhausting the inert gas fed into the inert gas feeding line without feeding the inert gas into the processing chamber; a first valve provided in the inert gas feeding line, on a downstream side of a part where the inert gas vent line is provided in the inert gas feeding line; a second valve provided in the inert gas vent line; and an exhaust line that exhausts an inside of the processing chamber.
    Type: Grant
    Filed: March 19, 2008
    Date of Patent: August 7, 2012
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Atsushi Sano, Hideharu Itatani, Mitsuro Tanabe
  • Patent number: 8236380
    Abstract: A gas supply system for supplying a gas into a processing chamber for processing a substrate to be processed includes: a processing gas supply unit; a processing gas supply line; a first and a second processing gas branch line; a branch flow control unit; an additional gas supply unit; an additional gas supply line; a first and a second additional gas branch line; a flow path switching unit; and a control unit. Before processing the substrate to be processed, the control unit performs a pressure ratio control on the branch flow control unit while the processing gas supply unit supplies the processing gas. After the inner pressures of the first and the second processing gas branch line become stable, the control unit switches the pressure ratio control to a fixed pressure control, and then the additional gas supply unit supplies the additional gas.
    Type: Grant
    Filed: February 13, 2009
    Date of Patent: August 7, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Kenetsu Mizusawa
  • Publication number: 20120186604
    Abstract: According to one embodiment, a cleaning gas is sealed in a chamber of a semiconductor manufacturing apparatus, and the cleaning gas and deposits adhered in the chamber are reacted with each other to generate a reactive gas. After a predetermined time, the gas is exhausted from the chamber. Then, the chamber is evacuated while the cleaning gas is introduced into the chamber, and the reactive gas concentration contained in an exhausted gas is measured. The reactive gas concentration is compared with a determination value obtained when the deposits are removed from the chamber to determine whether the cleaning is terminated.
    Type: Application
    Filed: September 15, 2011
    Publication date: July 26, 2012
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Kensuke Takano, Shinji Miyazaki, Ken Ishii, Takashi Nakao
  • Publication number: 20120181252
    Abstract: A plasma processing apparatus performs generating plasma only with the carrier gas without the supply of the processing gas after the end of processing to the substrate.
    Type: Application
    Filed: January 19, 2011
    Publication date: July 19, 2012
    Applicant: SUMITOMO HEAVY INDUSTRIES, LTD.
    Inventors: Hiroyuki MAKINO, Masaru TANAKA
  • Patent number: 8211802
    Abstract: A substrate processing apparatus cleaning method that includes: containing a cleaning gas in a reaction tube without generating a gas flow of the cleaning gas in the reaction tube by supplying the cleaning gas into the reaction tube and by completely stopping exhaustion of the cleaning gas from the reaction tube or by exhausting the cleaning gas at an exhausting rate which substantially does not affect uniform diffusion of the cleaning gas in the reaction tube from at a point of time of a period from a predetermined point of time before the cleaning gas is supplied into the reaction tube to a point of time when several seconds are elapsed after starting of supply of the cleaning gas into the reaction tube; and thereafter exhausting the cleaning gas from the reaction tube.
    Type: Grant
    Filed: November 24, 2010
    Date of Patent: July 3, 2012
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Kazuyuki Okuda, Toru Kagaya, Masanori Sakai
  • Patent number: 8211231
    Abstract: A delivery device for thin-film material deposition has at least first, second, and third inlet ports for receiving a common supply for a first, a second and a third gaseous material, respectively. Each of the first, second, and third elongated emissive channels allow gaseous fluid communication with one of corresponding first, second, and third inlet ports. The delivery device can be formed from apertured plates, superposed to define a network of interconnecting supply chambers and directing channels for routing each of the gaseous materials from its corresponding inlet port to a corresponding plurality of elongated emissive channels. The delivery device comprises a diffusing channel formed by a relief pattern between facing plates. Also disclosed is a process for thin film deposition. Finally, more generally, a flow diffuser and a corresponding method of diffusing flow is disclosed.
    Type: Grant
    Filed: September 26, 2007
    Date of Patent: July 3, 2012
    Assignee: Eastman Kodak Company
    Inventors: Roger S. Kerr, David H. Levy, James T. Murray
  • Publication number: 20120160416
    Abstract: When a substrate is etched by using a processing gas including a first gas containing halogen and carbon and having a carbon number of two or less per molecule, while supplying the processing gas toward the substrate independently from a central and a peripheral portion of a gas supply unit, which face the central and the periphery part of the substrate respectively, the processing gas is supplied such that a gas flow rate is greater in the central portion than in the peripheral portion. When the substrate is etched by using a processing gas including a second gas containing halogen and carbon and having a carbon number of three or more per molecule, the processing gas is supplied such that a gas flow rate is greater in the peripheral portion than in the central portion.
    Type: Application
    Filed: March 8, 2012
    Publication date: June 28, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Shigeru Tahara, Masaru Nishino
  • Publication number: 20120152898
    Abstract: In a supercritical fluid method a supercritical fluid is supplied into a process chamber. The supercritical fluid is discharged from the process chamber as a supercritical fluid process proceeds. A concentration of a target material included in the supercritical fluid discharged from the process chamber is detected during the supercritical fluid process. An end point of the supercritical fluid process may be determined based on a detected concentration of the target material.
    Type: Application
    Filed: December 8, 2011
    Publication date: June 21, 2012
    Inventors: YONG JHIN CHO, Kun-Tack LEE, Hyo-San LEE, Young-Hoo KIM, Jung-Won LEE, Sang-Won BAE, Jung-Min OH
  • Patent number: 8202393
    Abstract: A gas distribution system for supplying a gas mixture to a plasma process chamber is provided. A first valve arrangement is connected to upstream ends of a first gas line and a second gas line. A second valve arrangement is connected to downstream ends of the first gas line and the second gas line. A first gas distribution outlet line is connected between a gas supply and the first valve arrangement and a first chamber inlet line connected between the second valve arrangement and the plasma process chamber. A first evacuation line is connected to the first gas line at a location between the first valve arrangement and the second valve arrangement. A second evacuation line is connected to the second gas line at a location between the first valve arrangement and the second valve arrangement. The first evacuation line and second evacuation line are in fluid communication with a vacuum line.
    Type: Grant
    Filed: August 22, 2008
    Date of Patent: June 19, 2012
    Assignee: Lam Research Corporation
    Inventors: Harry P. Wong, Vernon Wong, Christopher Charles Griffin, Mark Taskar
  • Publication number: 20120149201
    Abstract: A method for forming a stair-step structure in a substrate is provided. An organic mask is formed over the substrate. A hardmask with a top layer and sidewall layer is formed over the organic mask. The sidewall layer of the hard mask is removed while leaving the top layer of the hardmask. The organic mask is trimmed. The substrate is etched. The forming the hardmask, removing the sidewall layer, trimming the organic mask, and etching the substrate are repeated a plurality of times.
    Type: Application
    Filed: December 14, 2010
    Publication date: June 14, 2012
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Qian Fu, Hyun-Yong Yu
  • Publication number: 20120149203
    Abstract: A method for forming a stair-step structure in a substrate is provided. An organic mask is formed over the substrate. A hardmask with a top layer and sidewall layer is formed over the organic mask. The sidewall layer of the hard mask is removed while leaving the top layer of the hardmask. The organic mask is trimmed. The hardmask is removed. The substrate is etched. The forming the hardmask, removing the sidewall layer, trimming the organic mask, and etching the substrate are repeated a plurality of times.
    Type: Application
    Filed: July 19, 2011
    Publication date: June 14, 2012
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Qian Fu, Ce Qin, Hyun-Yong Yu
  • Patent number: 8192576
    Abstract: Apparatus and methods are provided to detect and control a voltage potential applied in a plasma chamber for processing a semiconductor wafer. The plasma chamber includes circuitry for monitoring and adjusting a pulsed RF bias voltage signal to be applied to a chuck in the plasma chamber, where the chuck is configured to mount the wafer for processing. The circuitry includes an RF bias voltage detector for detecting individual pulses of the pulsed RF bias voltage signal applied to the chuck. A timing circuit is provided for determining a time for sampling each of the individual detected pulses and a sample and hold circuit.
    Type: Grant
    Filed: May 23, 2007
    Date of Patent: June 5, 2012
    Assignee: Lam Research Corporation
    Inventors: Andras Kuthi, Stephen Hwang, James C. Vetter, Greg Eilenstine, Rongping Wang, Tuan Ngo
  • Publication number: 20120132397
    Abstract: Methods and systems for controlling temperatures in plasma processing chamber via pulsed application of heating power and pulsed application of cooling power. In an embodiment, temperature control is based at least in part on a feedforward control signal derived from a plasma power input into the processing chamber. In further embodiments, fluid levels in each of a hot and cold reservoir coupled to the temperature controlled component are maintained in part by a passive leveling pipe coupling the two reservoirs. In another embodiment, digital heat transfer fluid flow control valves are opened with pulse widths dependent on a heating/cooling duty cycle value and a proportioning cycle having a duration that has been found to provide good temperature control performance.
    Type: Application
    Filed: May 19, 2011
    Publication date: May 31, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Fernando M. Silveira, Hamid Tavassoli, Xiaoping Zhou, Shane C. Nevil, Douglas A. Buchberger, Brad L. Mays, Tina Tsong, Chetan Mahadeswaraswamy, Yashaswini B. Pattar, Duy D. Nguyen, Walter R. Merry
  • Patent number: 8187412
    Abstract: A method for reducing capacitances between semiconductor devices is provided. A plurality of contact structures is formed in a dielectric layer. A mask is formed to cover the contact structures wherein the mask has mask features for exposing parts of the dielectric layer wherein the mask features have widths. The widths of the mask features are shrunk with a sidewall deposition. Gaps are etched into the dielectric layer through the sidewall deposition. The gaps are closed to form pockets in the gaps.
    Type: Grant
    Filed: December 22, 2008
    Date of Patent: May 29, 2012
    Assignee: Lam Research Corporation;
    Inventors: S. M. Reza Sadjadi, Zhi-Song Huang
  • Patent number: 8172980
    Abstract: A method for reducing capacitances between semiconductor device wirings is provided. A sacrificial layer is formed over a dielectric layer. A plurality of features are etched into the sacrificial layer and dielectric layer. The features are filled with a filler material. The sacrificial layer is removed, so that parts of the filler material remain exposed above a surface of the dielectric layer, where spaces are between the exposed parts of the filler material, where the spaces are in an area formerly occupied by the sacrificial layer. Widths of the spaces between the parts of the filler material are shrunk with a shrink sidewall deposition. Gaps are etched into the dielectric layer through the shrink sidewall deposition. The filler material and shrink sidewall deposition are removed.
    Type: Grant
    Filed: August 29, 2008
    Date of Patent: May 8, 2012
    Assignee: Lam Research Corporation
    Inventors: S. M. Reza Sadjadi, Zhi-Song Huang
  • Patent number: 8173451
    Abstract: Provided is a system for measuring an etch stage of an etch process involving one or more layers in a substrate, the etch stage measurement system configured to meet two or more etch stage measurement objectives. The system includes an etch process tool, the etch process tool having an etch chamber, a controller, and process parameters. The etch process tool is coupled to two or more optical metrology devices and at least one etch sensor device measuring an etch process parameter with high correlation to the etch stage. The processor is coupled to the etch process tool and is configured to extract an etch measurement value using a correlation of etch stage measurements to actual etch stage data and etch stage measurement obtained from the two or more metrology devices and the at least one etch process sensor device.
    Type: Grant
    Filed: February 16, 2011
    Date of Patent: May 8, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Xinkang Tian, Manuel Madriaga
  • Publication number: 20120100641
    Abstract: According to an embodiment, an etching apparatus includes a reaction chamber, a vacuum pump connected to the reaction chamber through the gate valve, a holding unit which holds a processing subject, an etching gas supply unit, a heating unit, and a sublimation amount determining unit. The etching gas supply unit supplies an etching gas which forms a reaction product by reacting with the processing subject to the reaction chamber. The heating unit heats the processing subject to an equal or higher temperature than temperature at which the reaction product will be sublimated. The sublimation amount determining unit monitors a predetermined physical amount which changes depending on the degree of sublimation of the reaction product during the sublimation process using the heating unit, in which the physical amount is used as a sublimation-amount-dependent change value which changes over time.
    Type: Application
    Filed: October 21, 2011
    Publication date: April 26, 2012
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Katsuhiko TACHIBANA, Kenta Yoshinaga
  • Publication number: 20120085495
    Abstract: Provided herein are etching, cleaning and drying methods using a supercritical fluid, and a chamber system for conducting the same. The etching method includes etching the material layer using a supercritical carbon dioxide in which an etching chemical is dissolved, and removing an etching by-product created from a reaction between the material layer and the etching chemical using a supercritical carbon dioxide in which a cleaning chemical is dissolved. Methods of manufacturing a semiconductor device are also provided.
    Type: Application
    Filed: December 15, 2011
    Publication date: April 12, 2012
    Inventors: Hyo-San Lee, Chang-Ki Hong, Kun-Tack Lee, Woo-Gwan Shim, Jeong-Nam Han, Jung-Min Oh, Kwon-Tack Lim, Ha-Soo Hwang, Haldori Vuvaraj, Jae-Monk Jung
  • Publication number: 20120085366
    Abstract: Provided is a plasma processing method capable of removing a Ti-series deposit from the surface of a processing chamber of a plasma processing apparatus without production of a foreign matter such as a boron oxide. The plasma processing method includes carbon-series deposition discharge which succeeds product etching during which a sample containing a Ti material is processed, and during which a carbon-series film is deposited on a Ti reaction by-product deposited on the surface of the processing chamber, and chlorine-series discharge which succeeds the carbon-series deposition discharge and during which the carbon-series film and Ti that are deposited on the surface of the processing chamber are removed.
    Type: Application
    Filed: January 19, 2011
    Publication date: April 12, 2012
    Inventor: Kousa HIROTA
  • Patent number: 8152925
    Abstract: A baffle plate, provided in a processing chamber for processing a substrate therein such that the baffle plate is disposed around a mounting table for mounting the substrate thereon, has a plurality of gas exhaust holes, through which a gas is exhausted from the processing chamber. The baffle plate has a stacked structure including a plurality of plate-shaped members. The baffle plate includes a pressure adjustment gas supply passageway to supply a pressure adjustment gas for adjusting a pressure in the processing chamber.
    Type: Grant
    Filed: June 12, 2009
    Date of Patent: April 10, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Hachishiro Iizuka
  • Publication number: 20120073672
    Abstract: A system for and method of delivering pulses of a desired mass of gas to a tool is described.
    Type: Application
    Filed: September 29, 2010
    Publication date: March 29, 2012
    Inventor: Junhua Ding
  • Patent number: 8142567
    Abstract: A vacuum processing apparatus includes a member having a gas passage formed in a center between the pressure adjusting valve of an exhaust system and a turbo-molecular pump, and a particle dispersion prevention unit having plural stationary blades formed to be tilted in a direction opposite the direction of the rotary blade of the turbo-molecular pump on the outer circumference of the member.
    Type: Grant
    Filed: February 25, 2009
    Date of Patent: March 27, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Hiroyuki Kobayashi, Kenji Maeda, Masaru Izawa, Makoto Nawata
  • Publication number: 20120070997
    Abstract: A gas switching system for a gas distribution system for supplying different gas compositions to a chamber, such as a plasma processing chamber of a plasma processing apparatus, is provided. The chamber can include multiple zones, and the gas switching section can supply different gases to the multiple zones. The switching section can switch the flows of one or more gases, such that one gas can be supplied to the chamber while another gas can be supplied to a by-pass line, and then switch the gas flows.
    Type: Application
    Filed: December 5, 2011
    Publication date: March 22, 2012
    Applicant: Lam Research Corporation
    Inventor: Dean J. Larson
  • Patent number: 8123860
    Abstract: An apparatus for cyclical depositing of thin films on semiconductor substrates, comprising a process chamber having a gas distribution system with separate paths for process gases and an exhaust system synchronized with operation of valves dosing the process gases into a reaction region of the chamber.
    Type: Grant
    Filed: October 30, 2008
    Date of Patent: February 28, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Randhir P. S. Thakur, Alfred W. Mak, Ming Xi, Walter Benjamin Glenn, Ahmad A. Khan, Ayad A. Al-Shaikh, Avgerinos V. Gelatos, Salvador P. Umotoy
  • Patent number: 8118938
    Abstract: A plasma processing chamber has a lower liner with an integrated flow equalizer. In an etching process, the processing gases may be unevenly drawn from the processing chamber which may cause an uneven etching of the substrate. The integrated flow equalizer is configured to equalize the flow of the processing gases evacuated from the chamber via the lower liner.
    Type: Grant
    Filed: July 27, 2011
    Date of Patent: February 21, 2012
    Assignee: Applied Materials, Inc.
    Inventors: James D. Carducci, Andrew Nguyen, Ajit Balakrishna, Michael C. Kutney
  • Publication number: 20120038277
    Abstract: According to one embodiment, a power supply control device of a plasma processing device having a plasma generation unit which generates plasma in a process chamber. The power supply control device includes a radio frequency power supply, a storage unit, and a matching circuit. The radio frequency power supply supplies a power to the plasma generation unit. The storage unit stores matching information including a first matching value, a second process condition, and a third matching value. The first matching value corresponds to process information of a first process condition. The second matching value corresponds to process information of a second process condition. The third matching value corresponds to process information of a transient state where the first process condition is being switched to the second process condition. The matching circuit matches impedances based on the matching information.
    Type: Application
    Filed: August 4, 2011
    Publication date: February 16, 2012
    Inventors: Hideo ETO, Nobuyasu Nishiyama, Makoto Saito, Keiji Suzuki
  • Publication number: 20120031876
    Abstract: A plasma source includes multiple ring plasma chambers, multiple primary windings, multiple ferrites and a control system. Each one of the primary windings is wrapped around an exterior one of the ring plasma chambers. Each one of the plurality of the ring plasma chamber passes through a respective portion of the plurality of ferrites. The control system is coupled to each of the ring plasma chambers. A system and method for generating and using a plasma are also described.
    Type: Application
    Filed: August 6, 2010
    Publication date: February 9, 2012
    Inventors: Ali Shajii, Richard Gottscho, Souheil Benzerrouk, Andrew Cowe, Siddharth P. Nagarkatti, William R. Entley
  • Publication number: 20120031559
    Abstract: A semiconductor wafer processing apparatus includes a first electrode exposed to a first plasma generation volume, a second electrode exposed to a second plasma generation volume, and a gas distribution unit disposed between the first and second plasma generation volumes. The first electrode is defined to transmit radiofrequency (RF) power to the first plasma generation volume, and distribute a first plasma process gas to the first plasma generation volume. The second electrode is defined to transmit RF power to the second plasma generation volume, and hold a substrate in exposure to the second plasma generation volume. The gas distribution unit includes an arrangement of through-holes defined to fluidly connect the first plasma generation volume to the second plasma generation volume. The gas distribution unit also includes an arrangement of gas supply ports defined to distribute a second plasma process gas to the second plasma generation volume.
    Type: Application
    Filed: August 4, 2010
    Publication date: February 9, 2012
    Applicant: Lam Research Corporation
    Inventors: Rajinder Dhindsa, Alexei Marakhatnov, Andrew D. Bailey, III
  • Publication number: 20120006486
    Abstract: A method and apparatus remove photoresist from a wafer. A process gas containing sulfur (S), oxygen (O), and hydrogen (H) is provided, and a plasma is generated from the process gas in a first chamber. A radical-rich ion-poor reaction medium is flown from the first chamber to a second chamber where the wafer is placed. The patterned photoresist layer on the wafer is removed using the reaction medium, and then the reaction medium flowing into the second chamber is stopped. Water vapor may be introduced in a solvation zone provided in a passage of the reaction medium flowing down from the plasma such that the water vapor solvates the reaction medium to form solvated clusters of species before the reaction medium reaches the wafer. The photoresist is removed using the solvated reaction medium.
    Type: Application
    Filed: September 22, 2011
    Publication date: January 12, 2012
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Robert P. CHEBI, Jaroslaw W. WINNICZEK
  • Publication number: 20120006487
    Abstract: [Task] It is a task to provide a fluorine gas supply system which can stably supply fluorine gas generated by a fluorine gas generation device to a semiconductor processing device in a large quantity and in a precise concentration. [Means for solving task] In the fluorine gas supply system, a mixed gas stored in a buffer tank is introduced into a gas introducing piping before the mixed gas is adjusted in the buffer tank to circulate the mixed gas and a monitoring device is disposed which measures a fluorine gas concentration within the mixed gas so that, in response to the obtained fluorine gas concentration, a flow quantity of inert gas supply source can be adjusted.
    Type: Application
    Filed: February 26, 2010
    Publication date: January 12, 2012
    Applicant: Central Glass Company, Limited
    Inventors: Akiou Kikuchi, Isamu Mori, Akifumi Yao, Tatsuo Miyazaki, Keita Nakahara
  • Publication number: 20120000607
    Abstract: A mass flow control system according to an embodiment includes a first mass flow controller that receives a corrosive gas having a corrosive effect on a predetermined material and has corrosion resistance to the corrosive gas, and a second mass flow controller that receives a non-corrosive gas having no corrosive effect on the predetermined material and is configured using the predetermined material. The mass flow control system further includes a plurality of first gas pipes that respectively supply a plurality of kinds of corrosive gases to the first mass flow controller, and a plurality of second gas pipes that respectively supply a plurality of kinds of non-corrosive gases to the second mass flow controller and are configured using the predetermined material.
    Type: Application
    Filed: June 30, 2011
    Publication date: January 5, 2012
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Atsushi Ito, Hideo Eto
  • Publication number: 20120000542
    Abstract: According to one embodiment, a flow rate adjusting unit is disposed on a gas passageway and includes a valve that adjusts the flow rate of a gas and an actuator that controls the displacement amount of the valve. A displacement amount storage unit stores displacement amount information in which a displacement amount of the valve, used when a gas flows into the gas passageway at a flow rate defined according to a process procedure before performing the process procedure, is obtained in advance for each process procedure. A setting circuit acquires the displacement amount corresponding to the process procedure from the displacement amount storage unit, and controls the actuator on the basis of the acquired displacement amount.
    Type: Application
    Filed: June 30, 2011
    Publication date: January 5, 2012
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Hideo ETO, Makoto SAITO, Nobuyasu NISHIYAMA
  • Patent number: 8088248
    Abstract: A gas switching system for a gas distribution system for supplying different gas compositions to a chamber, such as a plasma processing chamber of a plasma processing apparatus, is provided. The chamber can include multiple zones, and the gas switching section can supply different gases to the multiple zones. The switching section can switch the flows of one or more gases, such that one gas can be supplied to the chamber while another gas can be supplied to a by-pass line, and then switch the gas flows.
    Type: Grant
    Filed: January 11, 2006
    Date of Patent: January 3, 2012
    Assignee: Lam Research Corporation
    Inventor: Dean J. Larson