With Etchant Gas Supply Or Exhaust Structure Located Outside Of Etching Chamber (e.g., Supply Tank, Pipe Network, Exhaust Pump, Particle Filter) Patents (Class 156/345.29)
  • Patent number: 8900402
    Abstract: A semiconductor substrate processing system includes a substrate support defined to support a substrate in exposure to a processing region. The system also includes a first plasma chamber defined to generate a first plasma and supply reactive constituents of the first plasma to the processing region. The system also includes a second plasma chamber defined to generate a second plasma and supply reactive constituents of the second plasma to the processing region. The first and second plasma chambers are defined to be independently controlled.
    Type: Grant
    Filed: May 10, 2011
    Date of Patent: December 2, 2014
    Assignee: Lam Research Corporation
    Inventors: John Patrick Holland, Peter L. G. Ventzek, Harmeet Singh, Richard Gottscho
  • Publication number: 20140349469
    Abstract: This disclosure provides systems, methods and apparatus for processing multiple substrates in a processing tool. An apparatus for processing substrates can include a process chamber, a common reactant source, and a common exhaust pump. The process chamber can be configured to process multiple substrates. The process chamber can include a plurality of stacked individual subchambers. Each subchamber can be configured to process one substrate. The common reactant source can be configured to provide reactant to each of the subchambers in parallel. The common exhaust pump can be connected to each of the subchambers.
    Type: Application
    Filed: May 22, 2013
    Publication date: November 27, 2014
    Applicant: QUALCOMM MEMS TECHNOLOGIES, INC.
    Inventors: Teruo Sasagawa, Sandeep K. Giri, Ana R. Londergan, Shih-chou Chiang
  • Patent number: 8894768
    Abstract: A substrate processing apparatus that simultaneously forms thin films on a plurality of substrates and performs heat treatment includes: a plurality of substrate holders, each including a substrate support that supports a substrate and a first gas pipe having one or a plurality of injection holes; a boat where the plurality of substrate holders are stacked and including a second gas pipe connected with the first gas pipe of each of the substrate holders; a process chamber providing a space in which the substrates stacked in the boat are processed; a conveying unit that carries the boat into/out of the process chamber; a first heating unit disposed outside the process chamber; and a gas supply unit including a third gas pipe connected with the second gas pipe and supplying a heated or cooled gas into the second gas pipe.
    Type: Grant
    Filed: January 6, 2011
    Date of Patent: November 25, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Byoung-Keon Park, Ki-Yong Lee, Jin-Wook Seo, Min-Jae Jeong, Jong-Won Hong, Heung-Yeol Na, Tae-Hoon Yang, Yun-Mo Chung, Eu-Gene Kang, Seok-Rak Chang, Dong-Hyun Lee, Kil-Won Lee, Jong-Ryuk Park, Bo-Kyung Choi, Won-Bong Baek, Ivan Maidanchuk, Byung-Soo So, Jae-Wan Jung
  • Patent number: 8894805
    Abstract: A plasma reactor employs an e-beam source to generate plasma, and the e-beam source has a configurable magnetic shield.
    Type: Grant
    Filed: August 27, 2012
    Date of Patent: November 25, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Kallol Bera, Shahid Rauf, Leonid Dorf, Kenneth S. Collins, Ajit Balakrishna, Gary Leray
  • Publication number: 20140326409
    Abstract: An apparatus includes an upper electrode and a lower electrode for supporting a wafer disposed opposite each other within a process chamber. A first RF power supply configured to apply a first RF power having a relatively higher frequency is connected to the upper electrode. A second RF power supply configured to apply a second RF power having a relatively lower frequency is connected to the lower electrode. A variable DC power supply is connected to the upper electrode. A process gas is supplied into the process chamber while any one of application voltage, application current, and application power from the variable DC power supply to the upper electrode is controlled, to generate plasma of the process gas so as to perform plasma etching.
    Type: Application
    Filed: July 15, 2014
    Publication date: November 6, 2014
    Applicant: Tokyo Electron Limited
    Inventors: Akira KOSHIISHI, Masaru Sugimoto, Kunihiko Hinata, Noriyuki Kobayashi, Chishio Koshimizu, Ryuji Ohtani, Kazuo Kibi, Masashi Saito, Naoki Matsumoto, Manabu Iwata, Daisuke Yano, Yohei Yamazawa
  • Patent number: 8877001
    Abstract: Embodiments of gate valves and methods of using same are provided herein. In some embodiments, a gate valve for use in a process chamber may include a body having an opening disposed therethrough from a first surface to an opposing second surface of the body; a pocket extending into the body from a sidewall of the opening; a gate movably disposed within the pocket between a closed position that seals the opening and an open position that reveals the opening and disposes the gate completely within the pocket; and a shutter configured to selectively seal the pocket when the gate is disposed in the open position. In some embodiments, one or more heaters may be coupled to at least one of the body or the shutter.
    Type: Grant
    Filed: May 7, 2009
    Date of Patent: November 4, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Shin Kitamura, Mitsutoshi Fukada
  • Publication number: 20140315375
    Abstract: Provided is a substrate processing apparatus.
    Type: Application
    Filed: November 16, 2012
    Publication date: October 23, 2014
    Applicant: EUGENE TECHNOLOGY CO., LTD.
    Inventors: Il-Kwang Yang, Sung-Tae Je, Byoung-Gyu Song, Yong-Ki Kim, Kyong-Hun Kim, Yang-Sik Shin
  • Publication number: 20140305905
    Abstract: At a time point T0 when starting a process, a duty ratio of a high frequency power RF1 to which power modulation is performed is set to be an initial value (about 90%) which allows plasma to be ignited securely under any power modulating conditions. At the substantially same time of starting the process, the duty ratio of the high frequency power RF1 is gradually reduced from the initial value (about 90%) in a regular negative gradient or in a ramp waveform. At a time point t2 after a lapse of a preset time Td, the duty ratio has an originally set value Ds for an etching process. After the time point t2, the duty ratio is fixed or maintained at the set value Ds until the end (time point T4) of the process.
    Type: Application
    Filed: December 3, 2012
    Publication date: October 16, 2014
    Inventors: Norikazu Yamada, Toshifumi Tachikawa, Koichi Nagami
  • Publication number: 20140305590
    Abstract: A non-plasma dry etching apparatus forms textures by processing plural substrates at the same time, and all substrates and textures in respective substrate planes are formed to be uniform at the time of processing and all substrates and values of the reflectance in respective substrate planes are formed to be uniform as well as size reduction of equipment. The substrates are placed in plural stages so as to be parallel to the flow of a process gas in a reaction chamber. The uniform etching is realized by installing turbulent flow generation blades in the upstream side of the flow.
    Type: Application
    Filed: April 14, 2014
    Publication date: October 16, 2014
    Applicant: PANASONIC CORPORATION
    Inventors: NAOSHI YAMAGUCHI, HIROSHI TANABE, YASUSHI TANIGUCHI
  • Publication number: 20140291290
    Abstract: In an inductive coupling type plasma torch unit, a solenoid coil is arranged in the vicinity of a first quartz block and a second quartz block, and a space inside a long chamber is annular. Plasma generated in the space inside the long chamber is jetted toward a base material from a plasma jetting port) as a slit-shaped opening in the long chamber. The base material is processed by relatively moving the long chamber and a base material holding mechanism holding the base material inside the annular chamber in a direction perpendicular to the longitudinal direction of the plasma jetting port.
    Type: Application
    Filed: March 20, 2014
    Publication date: October 2, 2014
    Applicant: PANASONIC CORPORATION
    Inventors: Taro KITAOKA, Tomohiro OKUMURA
  • Patent number: 8840752
    Abstract: Disclosed are a flow path switching apparatus and a fluid processing apparatus having a liquid processing unit that performs a processing by supplying different kinds of processing fluid to wafer W at different timings. The atmosphere of the liquid processing unit is discharged fluid to a plurality of exclusive exhaust paths through exhaust paths and flow path switching units. A flow path switching unit includes an outer tube having a plurality of connection holes and a rotary tube inserted into the outer tube having a plurality of openings. In particular, one of the plurality of openings of the rotary tube is aligned with one of the plurality of connection holes of the outer tube in such a way that only an aligned set of an opening of the rotary tube and a connection hole of the outer tube is sequentially communicated during the rotation of the rotary tube.
    Type: Grant
    Filed: June 15, 2011
    Date of Patent: September 23, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Nobuhiro Ogata, Shuichi Nagamine, Kenji Kiyota
  • Patent number: 8840725
    Abstract: Embodiments of the present invention provide a recursive liner system that facilitates providing more uniform flow of gases proximate the surface of a substrate disposed within an apparatus for processing a substrate (e.g., a process chamber). In some embodiments, a recursive liner system may include an outer liner having an outer portion configured to line the walls of a process chamber, a bottom portion extending inward from the outer portion, and a lip extending up from the bottom portion to define a well; and an inner liner having a lower portion configured to be at least partially disposed in the well to define, together with the outer liner, a recursive flow path therebetween.
    Type: Grant
    Filed: September 17, 2010
    Date of Patent: September 23, 2014
    Assignee: Applied Materials, Inc.
    Inventors: David Palagashvili, Michael D. Willwerth, Alex Erenstein, Jingbao Liu
  • Publication number: 20140263180
    Abstract: Embodiments of the invention provide methods for processing a substrate within a processing chamber. In one embodiment, the method comprises providing a precursor gas mixture into the processing chamber, the precursor gas mixture comprising a deposition precursor gas and an etch precursor gas, subjecting the precursor gas mixture to a thermal energy from a heat source to deposit a material layer on a surface of the substrate, wherein the thermal energy is below the minimum required for pyrolysis of the etch precursor gas, and after the material layer is formed on the surface of the substrate, subjecting the precursor gas mixture to a photon energy from a radiation source, the photon energy having a wavelength and a power level selected to promote photolytic dissociation of the etch precursor gas over the deposition precursor gas and etch a portion of the material layer from the surface of the substrate.
    Type: Application
    Filed: February 21, 2014
    Publication date: September 18, 2014
    Applicant: Applied Materials, Inc.
    Inventor: STEPHEN MOFFATT
  • Publication number: 20140262034
    Abstract: This microwave plasma processing apparatus has, as a gas introduction mechanism for introducing a working gas inside a chamber (10), electrical discharge prevention members (96(1) to 96(8)), each of which is provided to a plurality of dielectric window gas passages (94(1) to (94(8)) through which a dielectric window (54) passes. Each electrical discharge prevention member (96(n)), a portion (114) of which protrudes only a height h, which is greater than or equal to a predetermined distance H, upward from the rear surface of a dielectric window (52) on the inlet side, passes through an opening (54a) of a slot plate (54), and inserts into a branched gas supply path (92(n)) of a gas branch part (90). The gas branch part (90), spring coils (116) and the slot plate (54), which surround the protruding portion (114) of each electrical discharge prevention member (96(n)), constitute an enclosing conductor (118).
    Type: Application
    Filed: October 3, 2012
    Publication date: September 18, 2014
    Inventors: Kiyotaka Ishibashi, Osamu Morita
  • Publication number: 20140262033
    Abstract: Methods and apparatus for protecting an inner wall of a foreline of a substrate processing system are provided herein. In some embodiments, an apparatus for treating an exhaust gas in a foreline of a substrate processing system includes a gas sleeve generator including a gas sleeve generator comprising a body having a central opening disposed through the body; a plenum disposed within the body and surrounding the central opening; an inlet coupled to the plenum; and an annulus coupled at a first end to the plenum and forming an annular outlet at a second end opposite the first end, wherein the annular outlet is concentric with and open to the central opening. The gas sleeve generator may be disposed upstream of a foreline plasma abatement system to provide a sleeve of a gas to a foreline of a substrate processing system.
    Type: Application
    Filed: February 19, 2014
    Publication date: September 18, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: ANDREW HERBERT, COLIN JOHN DICKINSON
  • Publication number: 20140273460
    Abstract: Embodiments of the present disclosure generally provide apparatus and methods for improving process result near the edge region of a substrate being processed. One embodiment of the present disclosure provides a cover ring for improving process uniformity. The cover ring includes a ring shaped body, and an extended lip extending radially inwards from the ring shaped body. An inner edge of the extended lip forms a central opening to expose a processing region on a substrate being processed, and a width of the extended lip is between about 15% to about 20% of a radius of the central opening.
    Type: Application
    Filed: February 20, 2014
    Publication date: September 18, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: David REYLAND, Dung Huu LE, Saravjeet SINGH, Madhava Rao YALAMANCHILI
  • Publication number: 20140251955
    Abstract: A microwave waveguide apparatus for generating plasma includes a waveguide which has first and second ends and propagates microwave from input end such that the microwave propagates from the first end to the second end, a circulator device having a first port, a second port coupled to the first end, and a third port coupled to the second end, the circulator device being structured such that the microwave is received at the first port, propagates from the second port to the first end, is received at the third port from the second end and is returned toward the input end, and a matching device which is interposed between the input end and the circulator device and reflects part of the microwave received at the third port and returned toward the input end to the first port. The waveguide has a slot-hole extending along the microwave propagation direction in the waveguide.
    Type: Application
    Filed: January 21, 2014
    Publication date: September 11, 2014
    Applicants: NATIONAL UNIVERSITY CORPORATION NAGOYA UNIVERSITY, TOKYO ELECTRON LIMITED
    Inventors: Hitoshi Itoh, Yusuke Kubota, Hirotaka Toyoda, Masaru Hori
  • Publication number: 20140251540
    Abstract: Provided is a substrate processing apparatus including a chamber provided with a reaction space and formed with an exhaustion opening in a center of a bottom, a substrate supporter provided in the chamber and supporting a substrate, a gas injection assembly provided to be opposite to the substrate supporter, injecting a processing gas, and generating plasma thereof, and an exhauster connected to the exhaustion opening and provided below the chamber to exhaust an inside of the chamber, in which the substrate supporter includes a substrate support supporting the substrate and a plurality of supporting posts supporting an outside of the substrate support disposing the exhausting opening therebetween.
    Type: Application
    Filed: March 11, 2014
    Publication date: September 11, 2014
    Applicant: CHARM ENGINEERING CO., LTD.
    Inventors: Young-Soo SEO, Young-Ki HAN, Jun-Hyeok LEE, Kyu-Sang LEE
  • Patent number: 8828141
    Abstract: A substrate processing apparatus of the present invention comprises: a processing chamber for storing and processing substrates stacked in multiple stages in horizontal posture; a processing gas supply unit for supplying two or more types of the processing gases to the inside of the processing chamber; an inactive gas supply unit for supplying an inactive gas to the inside of the processing chamber; and an exhaust unit for exhausting an atmosphere of the inside of the processing chamber, wherein the processing gas supply unit has at least two processing gas supply nozzles which extend running along an inner wall of the processing chamber in the stacking direction of the substrates and supply the processing gas to the inside of the processing chamber, and the inactive gas supply unit has a pair of inactive gas supply nozzles which are provided so as to extend running along the inner wall of the processing chamber in the stacking direction of the substrates and so as to sandwich at least one processing gas supp
    Type: Grant
    Filed: February 20, 2009
    Date of Patent: September 9, 2014
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Masanori Sakai, Yuji Takebayashi, Tsutomu Kato, Shinya Sasaki, Hirohisa Yamazaki
  • Publication number: 20140238607
    Abstract: A plasma processing apparatus includes a processing chamber configured to partition a processing space and a microwave generator configured to generate microwaves for plasma excitation. Further, the plasma processing apparatus includes a dielectric member mounted in the processing chamber so as to seal the processing space, and configured to introduce the microwaves generated by the microwave generator into the processing space. Further, the plasma processing apparatus includes an injector mounted in the dielectric member, and configured to supply the processing gas made in a plasma state due to the microwaves to the processing space through a through-hole formed in the dielectric member. Further, the plasma processing apparatus includes a waveguide plate made of a dielectric material mounted in the injector so as to surround the through-hole of the dielectric member, and configured to guide the microwaves propagated into the dielectric member toward the through-hole to an inside of the injector.
    Type: Application
    Filed: February 24, 2014
    Publication date: August 28, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Toshihisa NOZAWA, Jun YOSHIKAWA, Michitaka AITA, Masahiro YAMAZAKI, Takehisa SAITO, Fumihiko KAJI, Koji YAMAGISHI
  • Publication number: 20140231015
    Abstract: The object of the invention is to provide a plasma processing apparatus having enhanced plasma processing uniformity. The plasma processing apparatus comprises a processing chamber 1, means 13 and 14 for supplying processing gas into the processing chamber, evacuation means 25 and 26 for decompressing the processing chamber 1, an electrode 4 on which an object 2 to be processed such as a wafer is placed, and an electromagnetic radiation power supply 5A, wherein at least two kinds of processing gases having different composition ratios of O2 or N2 are introduced into the processing chamber through different gas inlets so as to control the in-plane uniformity of the critical dimension while maintaining the in-plane uniformity of the process depth.
    Type: Application
    Filed: April 25, 2014
    Publication date: August 21, 2014
    Inventors: Hiroyuki KOBAYASHI, Kenji MAEDA, Kenetsu YOKOGAWA, Masaru IZAWA, Tadamitsu KANEKIYO
  • Patent number: 8808453
    Abstract: A system for abating a simultaneous flow of silane and arsine contained in an exhaust gas of DRAM processing chamber. The system includes a CVD abatement apparatus and a resin-type adsorber. The CVD abatement apparatus comprises an enclosure that defines a chamber for receiving the exhaust gas. The enclosure contains a plurality of removable substrates arranged as a series of baffles inside the enclosure. As the exhaust gas flows through the CVD abatement apparatus, the silicon within the silane is deposited as a film upon the substrates by chemical vapor deposition. The arsine continues to flow through the CVD apparatus to the adsorber where it is adsorbed by the resin contained therein. After the film has reached a particular thickness, the substrates can be removed from the enclosure, cleaned of the film and returned to the enclosure for further use.
    Type: Grant
    Filed: April 19, 2007
    Date of Patent: August 19, 2014
    Assignee: International Business Machines Corporation
    Inventor: Kurt A. Carlsen
  • Patent number: 8801892
    Abstract: Etching a layer over a substrate is provided. The substrate is placed in a plasma processing chamber. A first gas is provided to an inner zone within the plasma processing chamber. A second gas is provided to the outer zone within the plasma processing chamber, where the outer zone surrounds the inner zone and the first gas is different than the second gas. Plasmas are simultaneously generated from the first gas and second gas. The layer is etched, where the layer is etched by the plasmas from the first gas and second gas.
    Type: Grant
    Filed: March 25, 2008
    Date of Patent: August 12, 2014
    Assignee: Lam Research Corporation
    Inventors: Dean J. Larson, Babak Kadkhodayan, Di Wu, Kenji Takeshita, Bi-Ming Yen, Xingcai Su, William M. Denty, Jr., Peter Loewenhardt
  • Patent number: 8801950
    Abstract: A substrate processing chamber includes a lift actuator that moves a pedestal between a substrate loading position and a substrate processing position. An adjustable seal defines an expandable sealed volume between a bottom surface of the pedestal and a bottom surface of the substrate processing chamber and is moveable between the substrate loading position and the substrate processing position. When the pedestal is in the substrate processing position, the pedestal and the adjustable seal define a first inert volume and a first process volume. When the pedestal is in the substrate loading position, the pedestal and the adjustable seal define a second inert volume and a second process volume. The second inert volume is less than the first inert volume and the second process volume is greater than the first process volume.
    Type: Grant
    Filed: February 23, 2012
    Date of Patent: August 12, 2014
    Assignee: Novellus Systems, Inc.
    Inventor: James F. Lee
  • Publication number: 20140220784
    Abstract: A plasma processing apparatus having a dielectric member that surrounds a circular chamber having a long shape and communicating with an opening portion having a long and linear shape, a gas supply pipe for introducing gas into an inside of the circular chamber, a coil provided in a vicinity of the circular chamber and having a long shape in parallel with a longitudinal direction of the opening portion, a high-frequency power supply connected to the coil, a base material mounting table that mounts a base material, and a moving mechanism that allows relative movement between the circular chamber and the base material mounting table in a perpendicular direction with respect to an longitudinal direction of the opening portion.
    Type: Application
    Filed: April 8, 2014
    Publication date: August 7, 2014
    Applicant: Panasonic Corporation
    Inventors: TOMOHIRO OKUMURA, HIROSHI KAWAURA, TETSUYA YUKIMOTO
  • Publication number: 20140220489
    Abstract: Long-period roughness in patterned resist is reduced in a manufacturing process of a sample such as a semiconductor device. A method for processing a sample to be processed, with patterned resist, in a sample processing apparatus includes: disposing the sample to be processed, with the patterned resist on the stage in the processing chamber; supplying silicon tetrachloride (SiCl4) or hydrobromide (HBr) into the processing chamber as processing gas; holding the pressure of the processing chamber in the range of 1 Pa to 10 KPa; exciting the processing gas by irradiating the vacuum ultraviolet light having a wavelength of 200 nm or less to the processing gas; reacting an element contained in the excited processing gas with the pattern resist of the sample, and curing the resist.
    Type: Application
    Filed: March 11, 2013
    Publication date: August 7, 2014
    Applicant: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Yutaka KOZUMA, Hiroaki OIZUMI, Naoki YASUI, Seiichi WATANABE
  • Publication number: 20140212994
    Abstract: Embodiments of the present disclosure generally provide apparatus and method for improving processing uniformity by reducing external magnetic noises. One embodiment of the present disclosure provides an apparatus for processing semiconductor substrates. The apparatus includes a chamber body defining a vacuum volume for processing one or more substrate therein, and a shield assembly for shielding magnetic flux from the chamber body disposed outside the chamber body, wherein the shield assembly comprises a bottom plate disposed between the chamber body and the ground to shield magnetic flux from the earth.
    Type: Application
    Filed: January 23, 2014
    Publication date: July 31, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Hun Sang KIM, Sang Wook KIM, Anisul H. KHAN
  • Patent number: 8790464
    Abstract: A mole delivery system and method provide pulses of known molar quantities as a function of the time duration of each pulse, which in turn is derived as a function of the ideal gas law. In one embodiment of the system, the system comprises: a chamber of known volume and controlled and known temperature; a pressure sensor to measure the pressure in the chamber; an outlet valve to a process tool; an inlet valve to charge the chamber with the delivery gas; and a control system configured and arranged so as to control the operation of the outlet valve, control the amount of each gas pulse by controlling the timing of the valve to the process tool.
    Type: Grant
    Filed: January 19, 2010
    Date of Patent: July 29, 2014
    Assignee: MKS Instruments, Inc.
    Inventor: Paul Meneghini
  • Patent number: 8790489
    Abstract: The substrate processing apparatus includes a process chamber which accommodates a wafer and performs a plasma etching process on the wafer, an exhaust chamber which communicates with the process chamber, an exhaust plate which divides the process chamber from the exhaust chamber and prevents plasma inside the process chamber from leaking into the exhaust chamber, and an upper electrode plate arranged inside the exhaust chamber, wherein the exhaust plate includes a plurality of through holes, and the upper electrode plate includes a plurality of through holes, is capable of contacting the exhaust plate in parallel, and is capable of being spaced apart from the exhaust plate.
    Type: Grant
    Filed: July 1, 2011
    Date of Patent: July 29, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Masanobu Honda, Kazuhiro Kubota, Yoshinobu Ooya, Masaru Nishino
  • Patent number: 8785809
    Abstract: A processing apparatus for performing a specified process on a target object at a predetermined process pressure, the apparatus having an evacuable processing chamber having a gas exhaust port formed in a bottom portion thereof, a mounting table provided within the processing chamber for holding the target object, a pressure control valve connected to the gas exhaust port, the pressure control valve including a slide-type valve body for changing an area of an opening region of a valve port, and a gas exhaust system connected to the pressure control valve. The pressure control valve is arranged such that a center axis of the mounting table lies within an opening region of the pressure control valve formed over a practical use region of a valve opening degree of the pressure control valve.
    Type: Grant
    Filed: April 23, 2012
    Date of Patent: July 22, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Toshihisa Nozawa, Tamaki Yuasa
  • Patent number: 8784563
    Abstract: A system and method for mixing a plurality of gases for an atomic layer deposition (ALD) reactor. The mixer is configured to mix the plurality of gases while minimizing the potential for re-circulation within the mixer. The mixer is further configured to maintain the flow velocity of the plurality of gases as the gases pass through the mixer.
    Type: Grant
    Filed: December 9, 2011
    Date of Patent: July 22, 2014
    Assignee: ASM America, Inc.
    Inventors: Ryan M Schmidt, Mohith Verghese
  • Publication number: 20140197135
    Abstract: A plasma processing method includes forming a silicon oxide film on a surface of a member provided within a chamber with plasma of a silicon-containing gas without oxygen while controlling a temperature of the member to be lower than a temperature of another member; performing a plasma process on a target object loaded into the chamber with plasma of a processing gas after the silicon oxide film is formed on the surface of the member; and removing the silicon oxide film from the surface of the member with plasma of a fluorine-containing gas after the target object on which the plasma process is performed is unloaded to an outside of the chamber.
    Type: Application
    Filed: January 14, 2014
    Publication date: July 17, 2014
    Inventors: Takayuki Katsunuma, Masanobu Honda, Hironobu Ichikawa
  • Publication number: 20140196849
    Abstract: Embodiments of methods and apparatus for plasma processing are provided herein. In some embodiments, an inductively coupled plasma apparatus may include a bottom wall comprising a hub and a ring coupled to the hub by a capacitor, wherein the hub and the ring are each electrically conductive, and where the hub has a central opening aligned with a central axis of the inductively coupled plasma apparatus; a top wall spaced apart from and above the bottom wall, wherein the top wall has a central opening aligned with the central axis, and wherein the tope wall is electrically conductive; a sidewall electrically connecting the ring to the top wall; and a tube electrically connecting the hub to the top wall, the tube having a central opening aligned with the central axis.
    Type: Application
    Filed: March 15, 2013
    Publication date: July 17, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: ANDREW NGUYEN, KARTIK RAMASWAMY, YANG YANG, STEVEN LANE
  • Patent number: 8772172
    Abstract: A semiconductor device manufacturing method includes a plasma etching step for etching an etching target film formed on a substrate accommodated in a processing chamber. In the plasma etching step, a processing gas including a gaseous mixture containing predetermined gases is supplied into the processing chamber, and a cycle including a first step in which a flow rate of at least one of the predetermined gases is set to a first value during a first time period and a second step in which the flow rate thereof is set to a second value that is different from the first value during a second time period is repeated consecutively at least three times without removing a plasma. The first time period and the second time period are set to about 1 to 15 seconds.
    Type: Grant
    Filed: July 22, 2013
    Date of Patent: July 8, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Masato Kushibiki, Eiichi Nishimura
  • Patent number: 8758511
    Abstract: A film forming apparatus including a raw material supplying section for supplying a raw material of a liquid or a gas-liquid mixture, a raw material vaporizing section for vaporizing the raw material to form a raw material gas, and a film forming section for conducting a film forming treatment using the formed raw material gas, and a filter on the transport path for the raw material gas from the raw material vaporizing section to the film forming section. An outer edge of the filter is pressed to the inner surface of the transport path over the whole perimeter thereof by a cyclic supporting member, which is less prone to be deformed by a loading in the pressing direction than the outer edge, and is fixed to the inner surface of the transport path in a compressed state between the inner surface of the transport path and the supporting member.
    Type: Grant
    Filed: August 12, 2005
    Date of Patent: June 24, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Hachishiro Iizuka, Akira Yasumuro, Koichiro Kimura, Norihiko Tsuji
  • Patent number: 8757178
    Abstract: A method and apparatus remove photoresist from a wafer. A process gas containing sulfur (S), oxygen (O), and hydrogen (H) is provided, and a plasma is generated from the process gas in a first chamber. A radical-rich ion-poor reaction medium is flown from the first chamber to a second chamber where the wafer is placed. The patterned photoresist layer on the wafer is removed using the reaction medium, and then the reaction medium flowing into the second chamber is stopped. Water vapor may be introduced in a solvation zone provided in a passage of the reaction medium flowing down from the plasma such that the water vapor solvates the reaction medium to form solvated clusters of species before the reaction medium reaches the wafer. The photoresist is removed using the solvated reaction medium.
    Type: Grant
    Filed: September 22, 2011
    Date of Patent: June 24, 2014
    Assignee: Lam Research Corporation
    Inventors: Robert P. Chebi, Jaroslaw W. Winniczek
  • Publication number: 20140166618
    Abstract: A system and method for reactive ion etching (RIE) system of a material is provided. The system includes a plasma chamber comprising a plasma source and a gas inlet, a diffusion chamber comprising a substrate holder for supporting a substrate with a surface comprising the material and a gas diffuser, and a source of a processing gas coupled to the gas diffuser. In the system and method, at least one radical of the processing gas is reactive with the material to perform etching of the material, the gas diffuser is configured to introduce the processing gas into the processing region, and the substrate holder comprises an electrode that can be selectively biased to draw ions generated by the plasma source into the processing region to interact with the at least one processing gas to generate the at least one radical at the surface.
    Type: Application
    Filed: October 14, 2013
    Publication date: June 19, 2014
    Applicant: THE PENN STATE RESEARCH FOUNDATION
    Inventors: Srinivas TADIGADAPA, Gokhan HATIPOGLU
  • Patent number: 8753474
    Abstract: Embodiments of the present invention relate to method and apparatus for providing processing gases to a process chamber with improved plasma dissociation efficiency. One embodiment of the present invention provides a baffle nozzle assembly comprising an outer body defining an extension volume connected to a processing chamber. A processing gas is flown to the processing chamber through the extension volume which is exposed to power source for plasma generation.
    Type: Grant
    Filed: September 9, 2010
    Date of Patent: June 17, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Roy C. Nangoy, Saravjeet Singh, Jon C. Farr, Sharma V. Pamarthy, Ajay Kumar
  • Publication number: 20140158301
    Abstract: A vacuum processing device and a vacuum processing method that strongly chuck and hold an insulating substrate when plasma processing is performed are provided. The vacuum processing device includes a vacuum chamber that is grounded; a vacuum evacuation device connected to the vacuum chamber; a chuck device arranged inside the vacuum chamber; a chuck power supply for applying an output voltage to a single-pole type electrode provided in the chuck device; a plasma generation gas introduction device for introducing a plasma generation gas into the vacuum chamber; and a plasma generation portion which converts the plasma generation gas into plasma. An object to be processed is arranged on the chuck device; and the chuck power supply applies an output voltage to the single-pole type electrode while the plasma is being generated inside the vacuum chamber; and the object to be processed is processed by the plasma while the object to be processed is being chucked by the chuck device.
    Type: Application
    Filed: February 11, 2014
    Publication date: June 12, 2014
    Applicant: ULVAC, Inc.
    Inventors: Ken MAEHIRA, Taichi SUZUKI, Eriko MASE, Koh FUWA
  • Publication number: 20140151334
    Abstract: A method for processing carbon nanotubes includes positioning in a treatment chamber of a carbon nanotube processing apparatus a substrate having multiple carbon nanotubes bundled together and oriented substantially perpendicular to a surface of the substrate, and introducing a microwave into the treatment chamber from a planar antenna having multiple microwave radiation holes such that plasma of an etching gas is generated and that the plasma etches the carbon nanotubes starting from one end of the carbon nanotubes bundled together.
    Type: Application
    Filed: February 10, 2014
    Publication date: June 5, 2014
    Applicant: Tokyo Electron Limited
    Inventors: Takashi MATSUMOTO, Osayuki Akiyama
  • Patent number: 8733282
    Abstract: The object of the invention is to provide a plasma processing apparatus having enhanced plasma processing uniformity. The plasma processing apparatus comprises a processing chamber 1, means 13 and 14 for supplying processing gas into the processing chamber, evacuation means 25 and 26 for decompressing the processing chamber 1, an electrode 4 on which an object 2 to be processed such as a wafer is placed, and an electromagnetic radiation power supply 5A, wherein at least two kinds of processing gases having different composition ratios of O2 or N2 are introduced into the processing chamber through different gas inlets so as to control the in-plane uniformity of the critical dimension while maintaining the in-plane uniformity of the process depth.
    Type: Grant
    Filed: March 14, 2013
    Date of Patent: May 27, 2014
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Hiroyuki Kobayashi, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, Tadamitsu Kanekiyo
  • Publication number: 20140141532
    Abstract: A plasma processing method is used to etch a multilayered material having a stacked structure, in which a first magnetic layer, an insulating layer, a second magnetic layer, and a mask material are stacked in sequence, in a plasma processing apparatus including a processing chamber that partitions a processing space where plasma is generated and a gas supply unit that supplies a processing gas into the processing space. The plasma processing method includes a mask forming process of forming a mask on the second magnetic layer by etching the mask material; an etching process of supplying the processing gas into the processing chamber to generate plasma, etching the second magnetic layer by the mask, and stopping the etching on a surface of the insulating layer. Further, the second magnetic layer contains CoFeB, the insulating layer contains MgO, and the processing gas contains H2 and F or a fluorine compound.
    Type: Application
    Filed: November 14, 2013
    Publication date: May 22, 2014
    Applicant: Tokyo Electron Limited
    Inventors: Eiichi Nishimura, Tadashi Kotsugi, Takashi Sone
  • Publication number: 20140138030
    Abstract: Techniques disclosed herein include apparatus and processes for generating plasma having a uniform electron density across an electrode used to generate the plasma. An upper electrode of a capacitively coupled plasma system can include structural features configured to assist in generating the uniform plasma. Such structural features define a surface shape, on a surface that faces the plasma. Such structural features can include a set of concentric rings having an approximately rectangular cross section, and protruding from the surface of the upper electrode. Such structural features can also include nested elongated protrusions having a cross-sectional size and shape, with spacing of the protrusions selected to result in a system that generates uniform density plasma. A dielectric member or sheet can be positioned on the structural features to prevent or inhibit erosion from plasma while still maintaining plasma uniformity.
    Type: Application
    Filed: April 17, 2013
    Publication date: May 22, 2014
    Applicant: Tokyo Electron Limited
    Inventors: Ikuo Sawada, Peter Ventzek
  • Patent number: 8728240
    Abstract: Methods and apparatus for recovery of precursor vapor from a gas and precursor vapor mixture used in a deposition process. The gas and precursor vapor mixture is passed through a multitude of heat transfer surfaces in a heat conducting housing causing the precursor vapor to condense. The precursor vapor in liquid form is then collected after condensation.
    Type: Grant
    Filed: November 19, 2012
    Date of Patent: May 20, 2014
    Assignee: MSP Corporation
    Inventors: Benjamin Y. H. Liu, Thuc M. Dinh, Yamin Ma
  • Patent number: 8728239
    Abstract: A gas panel according to various aspects of the present invention is configured to deliver a constant flow rate of gases to a reaction chamber during a deposition process step. In one embodiment, the gas panel comprises a deposition sub-panel having a deposition injection line, a deposition vent line, and at least one deposition process gas line. The deposition injection line supplies a mass flow rate of a carrier gas to a reactor chamber. Each deposition process gas line may include a pair of switching valves that are configured to selectively direct a deposition process gas to the reactor chamber or a vent line. The deposition vent line also includes a switching valve configured to selectively direct a second mass flow rate of the carrier gas that is equal to the sum of the mass flow rate for all of the deposition process gases to the reactor chamber or a vent line.
    Type: Grant
    Filed: July 29, 2011
    Date of Patent: May 20, 2014
    Assignee: ASM America, Inc.
    Inventors: Matthias Bauer, Gregory M Bartlett
  • Publication number: 20140130980
    Abstract: Provided are a plasma generation apparatus and a plasma generation method. The plasma generation apparatus includes a chamber including a dielectric window and a toroidal discharge space, a magnetic core disposed to surround a portion of the chamber, an induction coil disposed to wind the magnetic core, and a waveguide radiating a microwave through the dielectric window. Alternating current flowing in the induction coil forms a magnetic flux at the magnetic core, and the magnetic flux generates inductively-coupled plasma. A microwave propagating along the waveguide generates microwave plasma inside the chamber.
    Type: Application
    Filed: January 21, 2014
    Publication date: May 15, 2014
    Applicant: Plasmart Inc.
    Inventors: Yong-Gwan Lee, Jae-Hyun Kim, Sang-Won Lee, Sae-Hoon Uhm, Young-Rok Kim, Kyu-Hun Lee, Jin-Joong Kim
  • Publication number: 20140134848
    Abstract: Disclosed is a plasma etching method which suppresses the narrowing of the line-width of the line formed by etching and maintain the height of a remaining photoresist. The plasma etching method includes a modification process and an etching process. The modification process modifies a photoresist having a predetermined pattern by plasma of HBr/Ar gas while applying a negative DC voltage to an upper electrode containing silicon disposed to face a target object in which an organic film and the photoresist are sequentially laminated. The etching process etches the organic film by plasma of a processing gas which contains a CF-based gas and a CHF-based gas.
    Type: Application
    Filed: November 7, 2013
    Publication date: May 15, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Toru HISAMATSU, Masanobu HONDA, Yoshihide KIHARA
  • Publication number: 20140134847
    Abstract: A plasma etching method includes etching an amorphous carbon film by a plasma of an oxygen-containing gas using, as a mask, an SiON film having a predetermined pattern formed on a target object, etching a silicon oxide film by a plasma of a processing gas using the amorphous carbon film as a mask while removing the SiON film remaining on the etched amorphous carbon film by the plasma of the processing gas. The plasma etching method further includes modifying the amorphous carbon film by a plasma of a sulfur-containing gas or a hydrogen-containing gas while applying a negative DC voltage to an upper electrode containing silicon after the SiON film is removed from the amorphous carbon film, and etching the silicon oxide film again by the plasma of the processing gas using the modified amorphous carbon film as a mask.
    Type: Application
    Filed: November 7, 2013
    Publication date: May 15, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Yuta SEYA
  • Patent number: 8721790
    Abstract: A film deposition apparatus includes a turntable provided in the chamber and having on a first surface a substrate receiving area in which a substrate is placed; first and second reaction gas supplying portions supplying first and second reaction gases to the first surface, respectively; a separation gas supplying portion provided between the first reaction gas supplying portion and the second reaction gas supplying portion and supplying a separation gas that separates the first reaction gas and the second reaction gas; an evacuation port that evacuates the chamber; a space defining member provided for at least one of the first and second reaction gas supplying portions and defining a first space between the at least one of the first and second reaction gas supplying portions and the turntable and a second space so that the separation gas is likely to flow through the second space rather than the first space.
    Type: Grant
    Filed: December 9, 2010
    Date of Patent: May 13, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Hitoshi Kato, Manabu Honma, Kohichi Orito, Yasushi Takeuchi, Hiroyuki Kikuchi
  • Publication number: 20140102640
    Abstract: A plasma processing apparatus having a stable plasma generation under wide-ranging process conditions, and superior in uniformity and reproducibility, comprises an upper electrode 3 having gas supply through holes 6, a gas supply means and a lower electrode 1, wherein the gas supply means includes a plane-like member 4 having gas through holes 8 and a plane-like member 5 having gas through holes 10, and the gas supply through holes 6 and the gas through holes 8 are connected through a groove 7, and the gas through holes 8 and the gas through holes 10 are connected through a groove 9, and wherein the gas supply through holes 6, the gas through holes 8 and the gas through holes 10 are disposed at positions, different from each other on a plane.
    Type: Application
    Filed: July 30, 2013
    Publication date: April 17, 2014
    Applicant: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Ken'etsu YOKOGAWA, Masahito MORI, Takao ARASE