Showerhead-type Patents (Class 156/345.34)
  • Patent number: 8845806
    Abstract: A shower plate is adapted to be attached to the showerhead and includes a front surface adapted to face the susceptor; and a rear surface opposite to the front surface. The shower plate has multiple apertures each extending from the rear surface to the front surface for passing gas therethrough in this direction, and the shower plate has at least one quadrant section defined by radii, wherein the one quadrant section has an opening ratio of a total volume of openings of all the apertures distributed in the section to a total volume of the one quadrant section, which opening ratio is substantially smaller than an opening ratio of another quadrant section of the shower plate.
    Type: Grant
    Filed: October 22, 2010
    Date of Patent: September 30, 2014
    Assignee: ASM Japan K.K.
    Inventors: Koei Aida, Tomoyuki Baba
  • Patent number: 8840724
    Abstract: The invention relates to a chemical vapor deposition process for the continuous growth of a carbon single-wall nanotube where a carbon-containing gas composition is contacted with a porous membrane and decomposed in the presence of a catalyst to grow single-wall carbon nanotube material. A pressure differential exists across the porous membrane such that the pressure on one side of the membrane is less than that on the other side of the membrane. The single-wall carbon nanotube growth may occur predominately on the low-pressure side of the membrane or, in a different embodiment of the invention, may occur predominately in between the catalyst and the membrane. The invention also relates to an apparatus used with the carbon vapor deposition process.
    Type: Grant
    Filed: September 23, 2008
    Date of Patent: September 23, 2014
    Assignee: Honda Motor Co., Ltd.
    Inventors: Leonid Grigorian, Louis Hornyak, Anne C. Dillon, Michael J. Heben
  • Patent number: 8840726
    Abstract: An apparatus 101 for depositing a thin-film onto a surface of a substrate 113 using precursor gases G1, G2 is disclosed. The apparatus 101 comprises i) a supporting device 111 for holding the substrate 113; and ii) a spinner 105 positioned adjacent to the supporting device 111. Specifically, the spinner 105 includes a hub 106 for connecting to a motor, and one or more blades 201 connected to the hub 106. In particular, the one or more blades 201 are operative to rotate around the hub 106 on a plane to drive a fluid flow of the precursor gases G1, G2, so as to distribute the precursor gases G1, G2 across the surface of the substrate 113.
    Type: Grant
    Filed: June 8, 2011
    Date of Patent: September 23, 2014
    Assignee: ASM Technology Singapore Pte Ltd
    Inventors: Zilan Li, Teng Hock Kuah, Jiapei Ding, Ravindra Raghavendra
  • Publication number: 20140262031
    Abstract: A multi-chambered processing platform includes one or more multi-mode plasma processing systems. In embodiments, a multi-mode plasma processing system includes a multi-mode source assembly having a primary source to drive an RF signal on a showerhead electrode within the process chamber and a secondary source to generate a plasma with by driving an RF signal on an electrode downstream of the process chamber. In embodiments, the primary 7 source utilizes RF energy of a first frequency, while the secondary source utilizes RF energy of second, different frequency. The showerhead electrode is coupled to ground through a frequency dependent filter that adequately discriminates between the first and second frequencies for the showerhead electrode to be RF powered during operation of the primary source, yet adequately grounded during operation of the secondary plasma source without electrical contact switching or reliance on physically moving parts.
    Type: Application
    Filed: May 13, 2013
    Publication date: September 18, 2014
    Inventors: Sergey G. BELOSTOTSKIY, Alexander MARCACCI, Kartik RAMASWAMY, Srinivas D. NEMANI, Andrew NGUYEN, Yogananda SARODE
  • Publication number: 20140262037
    Abstract: Embodiments of the invention generally relate to a quartz showerhead having an aerosol-deposited yttria coating thereon. The yttria coating is sprayed on the quartz surface of the showerhead through a high pressure nozzle in a vacuum chamber. The yttria coating is transparent in the UV wavelength range, and allows the passage of UV light therethrough. The yttria coating erodes significantly slower than quartz in the presence of a cleaning gas, and thus extends the life of the quartz showerhead while facilitating the transmittance of UV light through the showerhead.
    Type: Application
    Filed: February 6, 2014
    Publication date: September 18, 2014
    Inventors: Ren-Guan DUAN, Juan Carlos ROCHA- ALVAREZ
  • Publication number: 20140248780
    Abstract: Methods of etching a patterned substrate may include flowing an oxygen-containing precursor into a first remote plasma region fluidly coupled with a substrate processing region. The oxygen-containing precursor may be flowed into the region while forming a plasma in the first remote plasma region to produce oxygen-containing plasma effluents. The methods may also include flowing a fluorine-containing precursor into a second remote plasma region fluidly coupled with the substrate processing region while forming a plasma in the second remote plasma region to produce fluorine-containing plasma effluents. The methods may include flowing the oxygen-containing plasma effluents and fluorine-containing plasma effluents into the processing region, and using the effluents to etch a patterned substrate housed in the substrate processing region.
    Type: Application
    Filed: February 21, 2014
    Publication date: September 4, 2014
    Applicant: Applied Materials, Inc.
    Inventors: Nitin K. Ingle, Dmitry Lubomirsky, Xinglong Chen, Shankar Venkataraman
  • Patent number: 8821637
    Abstract: Embodiments of the invention provide apparatuses for vapor depositing tungsten-containing materials, such as metallic tungsten and tungsten nitride. In one embodiment, a processing chamber is provided which includes a lid assembly containing a lid plate, a showerhead, a mixing cavity, a distribution cavity, and a resistive heating element contained within the lid plate. In one example, the resistive heating element is configured to provide the lid plate at a temperature within a range from about 120° C. to about 180° C., preferably, from about 140° C. to about 160° C., more preferably, from about 145° C. to about 155° C. The mixing cavity may be in fluid communication with a tungsten precursor source containing tungsten hexafluoride and a nitrogen precursor source containing ammonia. In some embodiments, a single processing chamber may be used to deposit metallic tungsten and tungsten nitride materials by CVD processes.
    Type: Grant
    Filed: January 29, 2008
    Date of Patent: September 2, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Avgerinos V. Gelatos, Sang-Hyeob Lee, Xiaoxiong Yuan, Salvador P. Umotoy, Yu Chang, Gwo-Chuan Tzu, Emily Renuart, Jing Lin, Wing-Cheong Lai, Sang Q. Le
  • Patent number: 8821742
    Abstract: A plasma etching method by using a plasma etching apparatus having a depressurizable processing chamber; a lower electrode for mounting thereon a substrate to be processed in the processing chamber; an upper electrode facing the lower electrode in the processing chamber with a plasma generation region formed therebetween; a radio frequency power supply unit for applying a radio frequency power between the upper electrode and the lower electrode to thereby form a radio frequency electric field in the plasma generation region, the method comprising: supplying a first gas including etchant gas to an upper gas inlet to introduce the first gas through the upper electrode into the plasma generation region; and feeding a second gas including dilution gas to a side gas inlet to introduce the second gas through a sidewall of the processing chamber into the plasma generation region.
    Type: Grant
    Filed: February 4, 2010
    Date of Patent: September 2, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Ryoichi Yoshida, Tetsuo Yoshida, Michishige Saito, Toshikatsu Wakaki, Hayato Aoyama, Akira Obi, Hiroshi Suzuki
  • Publication number: 20140238608
    Abstract: A showerhead assembly for a substrate processing system includes a back plate connected to a gas channel. A face plate is connected adjacent to a first surface of the back plate and includes a gas diffusion surface. An electrode is arranged in one of the back plate and the face plate and is connected to one or more conductors. A gas plenum is defined between the back plate and the face plate and is in fluid communication with the gas channel. The back plate and the face plate are made of a non-metallic material.
    Type: Application
    Filed: April 8, 2013
    Publication date: August 28, 2014
    Applicant: Novellus Systems, Inc.
    Inventors: Mohamed Sabri, Edward Augustyniak, Douglas L. Keil, Ramkishan Rao Lingampalli, Karl Leeser, Cody Barnett
  • Patent number: 8808454
    Abstract: A gas injection unit allows uniform cooling thereof via smooth flow of coolant and can be easily manufactured. The gas injection unit for a chemical vapor deposition apparatus includes, inter alia: a gas distribution housing; a cooling housing positioned between the gas distribution housing and a processing chamber where a deposition process is performed, and formed with a coolant inlet through which coolant is introduced, and a coolant outlet through which the coolant is discharged; a processing gas pipe of which one end is opened to the gas distribution housing and the other end is opened to the processing chamber, the processing gas pipe penetrating the cooling housing; and a first wall part positioned inside the cooling housing such that an inside of the cooling housing is partitioned into a central path and a peripheral path, and formed with a penetration hole such that the central path communicates with the peripheral path.
    Type: Grant
    Filed: July 26, 2010
    Date of Patent: August 19, 2014
    Assignee: LIGADP Co., Ltd.
    Inventor: Jae Moo Lee
  • Publication number: 20140227881
    Abstract: An exemplary system may include a chamber configured to contain a semiconductor substrate in a processing region of the chamber. The system may include a first remote plasma unit fluidly coupled with a first access of the chamber and configured to deliver a first precursor into the chamber through the first access. The system may still further include a second remote plasma unit fluidly coupled with a second access of the chamber and configured to deliver a second precursor into the chamber through the second access. The first and second access may be fluidly coupled with a mixing region of the chamber that is separate from and fluidly coupled with the processing region of the chamber. The mixing region may be configured to allow the first and second precursors to interact with each other externally from the processing region of the chamber.
    Type: Application
    Filed: March 8, 2013
    Publication date: August 14, 2014
    Applicant: Applied Materials, Inc.
    Inventor: Applied Materials, Inc.
  • Patent number: 8801892
    Abstract: Etching a layer over a substrate is provided. The substrate is placed in a plasma processing chamber. A first gas is provided to an inner zone within the plasma processing chamber. A second gas is provided to the outer zone within the plasma processing chamber, where the outer zone surrounds the inner zone and the first gas is different than the second gas. Plasmas are simultaneously generated from the first gas and second gas. The layer is etched, where the layer is etched by the plasmas from the first gas and second gas.
    Type: Grant
    Filed: March 25, 2008
    Date of Patent: August 12, 2014
    Assignee: Lam Research Corporation
    Inventors: Dean J. Larson, Babak Kadkhodayan, Di Wu, Kenji Takeshita, Bi-Ming Yen, Xingcai Su, William M. Denty, Jr., Peter Loewenhardt
  • Patent number: 8801856
    Abstract: High-throughput OVJP systems and methods are provided that may use multiple flow paths having different conductances to enable deposition with relatively short lag times. A high-throughput OVJP system may include a flow tube having a cross-sectional area much larger than the diameter of one or more apertures through which source material may be expelled during deposition. Use of such a configuration may allow for deposition with reduced lag times.
    Type: Grant
    Filed: September 2, 2010
    Date of Patent: August 12, 2014
    Assignee: Universal Display Corporation
    Inventors: Paul E. Burrows, Jeffrey Silvernail, Julie J. Brown
  • Patent number: 8796153
    Abstract: An electrode assembly for a plasma reaction chamber used in semiconductor substrate processing. The assembly includes an upper showerhead electrode which is mechanically attached to a backing plate by a series of spaced apart cam locks. A guard ring surrounds the backing plate and is movable to positions at which openings in the guard ring align with openings in the backing plate so that the cam locks can be rotated with a tool to release locking pins extending from the upper face of the electrode.
    Type: Grant
    Filed: March 11, 2013
    Date of Patent: August 5, 2014
    Assignee: Lam Research Corporation
    Inventors: Roger Patrick, Gregory R. Bettencourt, Michael C. Kellogg
  • Publication number: 20140209242
    Abstract: Substrate processing chamber components for use in substrate processing chambers are provided herein. In some embodiments, a substrate processing chamber component may include a body having a first surface, one or more heat exchangers disposed within the body below the first surface, and one or more anisotropic layers, wherein a separate anisotropic layer is disposed between each of the one or more heat exchangers and the first surface.
    Type: Application
    Filed: March 15, 2013
    Publication date: July 31, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: JENNIFER Y. SUN, BIRAJA PRASAD KANUNGO
  • Publication number: 20140209243
    Abstract: A plasma equipment includes a chamber, a shower head disposed in an upper part of an inner space of the chamber for discharging a cleaning gas into the chamber, a plasma generator for generating a plasma gas from the cleaning gas, a lower electrode disposed in a lower part of the inner space of the chamber, a chuck covering the lower electrode, and a field inducing unit disposed outside the chamber for inducing an electric field or a magnetic field within the chamber in a direction parallel to top surfaces of the chuck and the lower electrode. The field inducing unit concentrates the plasma gas on an inner sidewall of the chamber and protects the chuck from the plasma gas.
    Type: Application
    Filed: January 24, 2014
    Publication date: July 31, 2014
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Se-Yeon Kim, Kyung Hwan Jeong
  • Publication number: 20140202634
    Abstract: Radial transmission line based plasma sources for etch chambers are described. In an example, a radial transmission line based plasma source includes a gas delivery channel having a first end coupled to a gas inlet and having a second end coupled to a plasma showerhead. A folded or co-axial stub surrounds at least a portion of the gas delivery channel. An RF input is coupled to the folded or co-axial stub.
    Type: Application
    Filed: January 7, 2014
    Publication date: July 24, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Kartik Ramaswamy, Steven Lane, Yang Yang
  • Patent number: 8784563
    Abstract: A system and method for mixing a plurality of gases for an atomic layer deposition (ALD) reactor. The mixer is configured to mix the plurality of gases while minimizing the potential for re-circulation within the mixer. The mixer is further configured to maintain the flow velocity of the plurality of gases as the gases pass through the mixer.
    Type: Grant
    Filed: December 9, 2011
    Date of Patent: July 22, 2014
    Assignee: ASM America, Inc.
    Inventors: Ryan M Schmidt, Mohith Verghese
  • Patent number: 8778079
    Abstract: A CVD reactor, such as a MOCVD reactor conducting metalorganic chemical vapor deposition of epitaxial layers, is provided. The CVD or MOCVD reactor generally comprises a flow flange assembly, adjustable proportional flow injector assembly, a chamber assembly, and a multi-segment center rotation shaft. The reactor provides a novel geometry to specific components that function to reduce the gas usage while also improving the performance of the deposition.
    Type: Grant
    Filed: October 9, 2008
    Date of Patent: July 15, 2014
    Assignee: Valence Process Equipment, Inc.
    Inventors: Michael J. Begarney, Frank J. Campanale
  • Patent number: 8771417
    Abstract: A substrate processing apparatus includes a chamber having an inner space where a process is carried out with respect to a substrate and an exhaust unit for exhausting substance in the inner space to the outside. The exhaust unit includes a first exhaust plate located at an upstream of an exhaust path of the substance, the first exhaust plate having first exhaust holes, and a second exhaust plate located at a downstream of the exhaust path, the first exhaust plate having second exhaust holes. The first exhaust plate is disposed outside a support member, and the second exhaust plate is disposed below the first exhaust plate generally in parallel to the first exhaust plate. The exhaust unit further includes first covers for selectively opening and closing the first exhaust holes and second covers for selectively opening and closing the second exhaust holes.
    Type: Grant
    Filed: September 4, 2008
    Date of Patent: July 8, 2014
    Assignee: Eugene Technology Co., Ltd.
    Inventors: Song Keun Yoon, Byoung Gyu Song, Jae Ho Lee, Kyong Hun Kim
  • Patent number: 8771418
    Abstract: According to one embodiment of the present invention, a substrate-processing apparatus comprises: a lower chamber with an open top; an upper chamber which covers the top of the lower chamber, and which cooperates with the lower chamber to form an internal space for substrate-processing; a shower head arranged in a lower portion of the upper chamber to supply reaction gas to the internal space, and forming a buffer space between the shower head and the upper chamber; a gas supply port formed in the upper chamber to supply reaction gas to the buffer space; and a diffusion unit arranged in the buffer space to diffuse the reaction gas supplied through the gas supply port.
    Type: Grant
    Filed: July 2, 2010
    Date of Patent: July 8, 2014
    Assignee: Eugene Technology Co., Ltd.
    Inventors: Sung Tae Je, Chan Yong Park, Kyoung Hun Kim
  • Patent number: 8764902
    Abstract: A film-forming apparatus capable of discharging a feedstock gas and a reactive gas to an inner side of the vacuum chamber by more effectively cooling the gases without mixing them in comparison with the conventional art. A discharge plate having a first face exposed inside the vacuum chamber is provided with a plurality of feedstock gas introduction holes and a plurality of reactive gas introduction holes penetrating the discharge plate. A plurality of grooves having the feedstock gas introduction holes located on the bottom face are formed in the second face opposite to the first face of the discharge plate, a top plate that covers the groove is arranged over the second face, and the feedstock gas through-hole formed in the top plate and the feedstock gas introduction hole are connected to each other with the first auxiliary pipe.
    Type: Grant
    Filed: January 10, 2013
    Date of Patent: July 1, 2014
    Assignee: ULVAC, Inc.
    Inventors: Yasumasa Suzuki, Kenji Kimura, Kazuya Tsukagoshi, Takashi Kageyama
  • Patent number: 8758551
    Abstract: A substrate processing apparatus capable of preventing the abnormal discharge from being generated on a substrate. A housing chamber houses the substrate. A mounting stage arranged in the housing chamber, is configured to enable the substrate to be mounted thereon. A disc-like electrode structure is connected to a high-frequency power supply, and connected to a gas supply apparatus via at least one gas supply system. The electrode structure has therein at least one buffer chamber and a plurality of connecting sections connected to the gas supply system. The buffer chamber is communicated with the inside of the housing chamber via a number of gas holes, and is communicated with the gas supply system via the plurality of connecting sections. The plurality of connecting sections for the buffer chamber are arranged on the circumference of a circle centering around the center of the electrode structure at equal intervals.
    Type: Grant
    Filed: June 20, 2013
    Date of Patent: June 24, 2014
    Assignee: Tokyo Electron Limited
    Inventor: Tatsuya Handa
  • Patent number: 8758550
    Abstract: A shower head is provided, in a processing chamber in which a substrate is processed, to face a mounting table for mounting the substrate thereon. The shower head includes: a facing surface that faces the mounting table to supply a gas to the substrate in a form of shower through a plurality of gas injection holes formed on the facing surface; an opposing surface provided opposite to the facing surface; a plurality of gas exhaust holes extending between the facing surface and the opposing surface to perform gas exhaust from the facing surface toward the opposing surface; and a plurality of electrodes provided on the opposing surface, an ion-confining voltage being applied to the electrodes.
    Type: Grant
    Filed: March 8, 2010
    Date of Patent: June 24, 2014
    Assignee: Tokyo Electron Limited
    Inventor: Hachishiro Iizuka
  • Patent number: 8757090
    Abstract: In a plasma processing apparatus for generating a plasma in a plasma generation space between a lower electrode and an upper electrode so that a processing object mounted on the lower electrode is subjected to plasma processing, a plurality of cutout portions for absorption of strain caused by thermal expansion due to rapid temperature increases in the plasma processing are formed at an equal pitch in an outer edge portion of a gas shower plate included in the upper electrode. Thus, the gas shower plate can be prevented from being damaged by occurrence of cracks in the outer edge portion of the gas shower plate or the like.
    Type: Grant
    Filed: April 4, 2006
    Date of Patent: June 24, 2014
    Assignee: Panasonic Corporation
    Inventors: Kiyoshi Arita, Akira Nakagawa, Koji Kuga, Taiji Matano, Nobuhiro Sato
  • Publication number: 20140166616
    Abstract: Methods and apparatus for processing using a remote plasma source are disclosed. The apparatus includes an outer chamber, a remote plasma source, and a showerhead. Inert gas ports within the showerhead assembly can be used to alter the concentration and energy of reactive radical or reactive neutral species generated by the remote plasma source in different regions of the showerhead. This allows the showerhead to be used to apply a surface treatment to different regions of the surface of a substrate. Varying parameters such as the remote plasma parameters, the inert gas flows, pressure, and the like allow different regions of the substrate to be treated in a combinatorial manner.
    Type: Application
    Filed: December 17, 2012
    Publication date: June 19, 2014
    Applicant: INTERMOLECULAR, INC.
    Inventors: Sunil Shanker, Tony P. Chiang, Chi-I Lang, Sandip Niyogi
  • Patent number: 8747609
    Abstract: A plasma processing apparatus includes a shower head that is installed within a processing chamber for processing a substrate therein so as to face a mounting table for mounting the substrate thereon and supplies a gas toward the substrate in a shower pattern through a plurality of gas discharge holes provided in a facing surface of the shower head facing the mounting table; a plurality of gas exhaust holes formed through the shower head to be extended from the facing surface of the shower head to an opposite surface from the facing surface; a multiple number of rod-shaped magnet pillars standing upright in a gas exhaust space communicating with the gas exhaust holes on the side of the opposite surface; and a driving unit that varies a distance between the magnet pillars and the gas exhaust holes by moving at least a part of the magnet pillars.
    Type: Grant
    Filed: September 23, 2010
    Date of Patent: June 10, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Hachishiro Iizuka, Jun Abe, Yuki Mochizuki
  • Patent number: 8747556
    Abstract: Embodiments of the invention provide apparatuses and methods for atomic layer deposition (ALD), such as plasma-enhanced ALD (PE-ALD). In some embodiments, a PE-ALD chamber is provided which includes a chamber lid assembly coupled with a chamber body having a substrate support therein. In one embodiment, the chamber lid assembly has an inlet manifold assembly containing an annular channel encompassing a centralized channel, wherein the centralized channel extends through the inlet manifold assembly, and the inlet manifold assembly further contains injection holes extending from the annular channel, through a sidewall of the centralized channel, and to the centralized channel.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: June 10, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Hyman W. H. Lam, Bo Zheng, Hua Ai, Michael Jackson, Xiaoxiong Yuan, Hougong Wang, Salvador P. Umotoy, Sang Ho Yu
  • Patent number: 8747610
    Abstract: A plasma processing system. The processing system comprises a process chamber having first and second ends arranged such that the first end opposes the second end. A substrate support is positioned at the first end of the process chamber and is configured to support a substrate. An exhaust system is positioned proximate the second end of the process chamber and draws a vacuum on the process chamber. Between the exhaust system and substrate support there is a plurality of super-Debye openings, and between the exhaust system and the plurality of super-Debye openings is a plurality of sub-Debye openings. The super-Debye openings are configured to limit diffusion of plasma while the sub-Debye openings are configured to quench plasma.
    Type: Grant
    Filed: March 30, 2012
    Date of Patent: June 10, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Lee Chen, Merritt Funk
  • Patent number: 8733280
    Abstract: A top assembly for a processing chamber having a back plate and a hub is provided. The back plate has a first portion and a second portion. The first portion is connected to the second portion through a central region of the back plate, wherein a gap is defined between opposing surfaces of the first and second portions outside the central region. The first portion includes an embedded heating element. The hub is affixed to a top surface of the second portion of the back plate over the central region. The hub has a top surface with a plurality of channel openings defined within a central region of the hub and a bottom surface having a central extension with a plurality of channels defined therethrough. The bottom surface includes an annular extension spaced apart from the central extension.
    Type: Grant
    Filed: December 20, 2010
    Date of Patent: May 27, 2014
    Assignee: Intermolecular, Inc.
    Inventors: Lipyeow Yap, Jay DeDontney, Shouqian Shao, Jason Wright
  • Patent number: 8733279
    Abstract: The present invention generally comprises a backing plate reinforcement apparatus for use in a plasma enhanced chemical vapor deposition apparatus. When processing large area substrates, the backing plate extending across the chamber may also be quite large. By supporting a central area of the backing plate with a frame structure, the backing plate may be maintained substantially planar. Alternatively, as necessary, the contour of the backing plate may be adjusted to suit the particular needs of the process.
    Type: Grant
    Filed: February 26, 2008
    Date of Patent: May 27, 2014
    Assignee: Applied Materials, Inc.
    Inventors: John M. White, Shinichi Kurita, Robin L. Tiner
  • Patent number: 8733282
    Abstract: The object of the invention is to provide a plasma processing apparatus having enhanced plasma processing uniformity. The plasma processing apparatus comprises a processing chamber 1, means 13 and 14 for supplying processing gas into the processing chamber, evacuation means 25 and 26 for decompressing the processing chamber 1, an electrode 4 on which an object 2 to be processed such as a wafer is placed, and an electromagnetic radiation power supply 5A, wherein at least two kinds of processing gases having different composition ratios of O2 or N2 are introduced into the processing chamber through different gas inlets so as to control the in-plane uniformity of the critical dimension while maintaining the in-plane uniformity of the process depth.
    Type: Grant
    Filed: March 14, 2013
    Date of Patent: May 27, 2014
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Hiroyuki Kobayashi, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, Tadamitsu Kanekiyo
  • Publication number: 20140141614
    Abstract: A system and method for generating and using plasma is provided. An embodiment comprises a plasma generating unit that comprises beta-phase aluminum oxide. A precursor material is introduced to the plasma generating unit and a plasma is induced from the precursor material. The plasma may be used to deposit or etch materials on a semiconductor substrate.
    Type: Application
    Filed: November 16, 2012
    Publication date: May 22, 2014
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Fei-Fan Chen, Wen-Sheng Wu, Chien Kuo Huang
  • Patent number: 8726838
    Abstract: According to various embodiments of the disclosure, an apparatus and method for enhanced deposition and etch techniques is described, including a pedestal, the pedestal having at least two electrodes embedded in the pedestal, a showerhead above the pedestal, a plasma gas source connected to the showerhead, wherein the showerhead is configured to deliver plasma gas to a processing region between the showerhead and the substrate and a power source operably connected to the showerhead and the at least two electrodes with plasma being substantially contained in an area which corresponds with one electrode of the at least two electrodes.
    Type: Grant
    Filed: December 9, 2010
    Date of Patent: May 20, 2014
    Assignee: Intermolecular, Inc.
    Inventors: Sunil Shanker, Tony P. Chiang, Chi-I Lang
  • Patent number: 8721835
    Abstract: Embodiments of the device relate to an injector (11) for injecting a gas in a processing chamber, including an inlet (21) for receiving a gas wave or a gas flow, a flow-shaping section (20) for expanding the gas in a direction (YY?) perpendicular to a propagation axis (XX?) of the gas, and an outlet (22) for expelling the gas. The flow-shaping section has first and second sidewalls (23) which diverge according to a divergence angle (A1) relative to the propagation axis of the gas, and includes means for slowing down the velocity of the gas near the center of the flow-shaping section, relative to the velocity of the gas near at least one sidewall.
    Type: Grant
    Filed: March 25, 2011
    Date of Patent: May 13, 2014
    Assignee: Koolerheadz
    Inventor: Jacques Constant Stefan Kools
  • Patent number: 8721791
    Abstract: Embodiments of the present invention generally provide apparatus and methods for supporting a gas distribution showerhead in a processing chamber. In one embodiment, a gas distribution showerhead for a vacuum chamber is provided. The gas distribution showerhead comprises a body having a first side and a second side opposite the first side, and a plurality of gas passages formed through the body, the gas passages comprising a first bore formed in the first side that is fluidly coupled to a second bore formed in the second side by a restricting orifice, and a suspension feature formed in the first bore of at least one of the gas passages.
    Type: Grant
    Filed: June 17, 2011
    Date of Patent: May 13, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Robin L. Tiner, Soo Young Choi, Qunhua Wang, Jrjyan Jerry Chen
  • Publication number: 20140127911
    Abstract: A palladium plated aluminum component of a semiconductor plasma processing chamber comprises a substrate including at least an aluminum or aluminum alloy surface, and a palladium plating on the aluminum or aluminum alloy surface of the substrate. The palladium plating comprises an exposed surface of the component and/or a mating surface of the component.
    Type: Application
    Filed: November 7, 2012
    Publication date: May 8, 2014
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Hong Shih, Lin Xu, Rajinder Dhindsa, Travis Taylor, John Daugherty
  • Patent number: 8715455
    Abstract: A treatment system is described for exposing a substrate to various processes. Additionally, a gas distribution system is configured to be coupled to and utilized with the treatment system in order to distribute process material above the substrate is provided. The treatment system includes a process chamber, a radical generation system coupled to the process chamber, a gas distribution system coupled to the radical generation system and configured to distribute reactive radicals above a substrate, and a temperature controlled pedestal coupled to the vacuum chamber and configured to support the substrate. The gas distribution system is configured to efficiently transport radicals to the substrate and distribute the radicals above the substrate.
    Type: Grant
    Filed: February 6, 2007
    Date of Patent: May 6, 2014
    Assignee: Tokyo Electron Limited
    Inventor: Jozef Brcka
  • Patent number: 8709202
    Abstract: Components of a plasma processing apparatus includes a backing member with gas passages attached to an upper electrode with gas passages. To compensate for the differences in coefficient of thermal expansion between the metallic backing member and upper electrode, the gas passages are positioned and sized such that they are misaligned at ambient temperature and substantially concentric at an elevated processing temperature. Non-uniform shear stresses can be generated in the elastomeric bonding material, due to the thermal expansion. Shear stresses can either be accommodated by applying an elastomeric bonding material of varying thickness or using a backing member comprising of multiple pieces.
    Type: Grant
    Filed: December 14, 2010
    Date of Patent: April 29, 2014
    Assignee: Lam Research Corporation
    Inventors: Anthony De La Llera, Allan K. Ronne, Jaehyun Kim, Jason Augustino, Rajinder Dhindsa, Yen-Kun Wang, Saurabh J. Ullal, Anthony J. Norell, Keith Comendant, William M. Denty, Jr.
  • Patent number: 8702866
    Abstract: A showerhead electrode assembly for a plasma processing apparatus is provided. The showerhead electrode assembly includes a first member attached to a second member. The first and second members have first and second gas passages in fluid communication. When a process gas is flowed through the gas passages, a total pressure drop is generated across the first and second gas passages. A fraction of the total pressure drop across the second gas passages is greater than a fraction of the total pressure drop across the first gas passages.
    Type: Grant
    Filed: December 18, 2006
    Date of Patent: April 22, 2014
    Assignee: Lam Research Corporation
    Inventors: Jason Augustino, Anthony De La Llera, Allan K. Ronne, Jaehyun Kim, Rajinder Dhindsa, Yen-Kun Wang, Saurabh J. Ullal, Anthony J. Norell, Keith Comendant, William M. Denty, Jr.
  • Patent number: 8702867
    Abstract: A gas distribution plate that is installed in a chamber providing a reaction space and supplies a reaction gas onto a substrate placed on a substrate placing plate, wherein the gas distribution plate includes: first and second surfaces opposing to each other, wherein the second surface faces the substrate placing plate and has a recess shape; and a plurality of injection holes each including: an inflow portion that extends from the first surface toward the second surface; a diffusing portion that extends from the second surface toward the first surface; and an orifice portion between the inflow portion and the diffusing portion, wherein the plurality of inflow portions of the plurality of injection holes decrease in gas path from edge to middle of the gas distribution plate, and wherein the plurality of diffusing portions of the plurality of injection holes have substantially the same gas path.
    Type: Grant
    Filed: July 5, 2009
    Date of Patent: April 22, 2014
    Assignee: Jusung Engineering Co., Ltd.
    Inventors: Jae-Wook Choi, Chan-Ho Park
  • Publication number: 20140087561
    Abstract: Embodiments of the present invention provide an apparatus for transferring substrates and confining a processing environment in a chamber. One embodiment of the present invention provides a hoop assembly for using a processing chamber. The hoop assembly includes a confinement ring defining a confinement region therein, and three or more lifting fingers attached to the hoop. The three or more lifting fingers are configured to support a substrate outside the inner volume of the confinement ring.
    Type: Application
    Filed: February 29, 2012
    Publication date: March 27, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Jared Ahmad Lee, Martin Jeff Salinas, Paul B. Reuter, Imad Yousif, Aniruddha Pal
  • Publication number: 20140087488
    Abstract: A showerhead electrode assembly for use in a capacitively coupled plasma processing apparatus comprising a heat transfer plate. The heat transfer plate having independently controllable gas volumes which may be pressurized to locally control thermal conductance between a heater member and a cooling member such that uniform temperatures may be established on a plasma exposed surface of the showerhead electrode assembly.
    Type: Application
    Filed: September 24, 2012
    Publication date: March 27, 2014
    Applicant: Lam Research Corporation
    Inventors: Sang Ki Nam, Rajinder Dhindsa, Ryan Bise
  • Patent number: 8679288
    Abstract: Showerhead electrode assemblies are disclosed, which include a showerhead electrode adapted to be mounted in an interior of a vacuum chamber; an optional backing plate attached to the showerhead electrode; a thermal control plate attached to the backing plate or to the showerhead electrode at multiple contact regions across the backing plate; and at least one interface member separating the backing plate and the thermal control plate, or the thermal control plate and showerhead electrode, at the contact regions, the interface member having a thermally and electrically conductive gasket portion and a particle mitigating seal portion. Methods of processing semiconductor substrates using the showerhead electrode assemblies are also disclosed.
    Type: Grant
    Filed: June 9, 2008
    Date of Patent: March 25, 2014
    Assignee: Lam Research Corporation
    Inventors: Tom Stevenson, Rajinder Dhindsa
  • Patent number: 8679254
    Abstract: [Problem] Provided is a vapor phase epitaxy apparatus of a group III nitride semiconductor including: a susceptor for holding a substrate; the opposite face of the susceptor; a heater for heating the substrate; a reactor formed of a gap between the susceptor and the opposite face of the susceptor; a raw material gas-introducing portion for supplying a raw material gases from the central portion of the reactor toward the peripheral portion of the reactor; and a reacted gas-discharging portion. Even when crystal growth is conducted on the surfaces of a large number of large-aperture substrates, the vapor phase epitaxy apparatus can eject each raw material gas at an equal flow rate for any angle, and can suppress the decomposition and crystallization of the raw material gases on the opposite face of the susceptor.
    Type: Grant
    Filed: January 26, 2011
    Date of Patent: March 25, 2014
    Assignee: Japan Pionics Co., Ltd.
    Inventors: Kenji Iso, Yoshiyasu Ishihama, Ryohei Takaki, Yuzuru Takahashi
  • Patent number: 8679252
    Abstract: An actively heated aluminum baffle component such as a thermal control plate or baffle ring of a showerhead electrode assembly of a plasma processing chamber has an exposed outer aluminum oxide layer which is formed by an electropolishing procedure. The exposed outer aluminum oxide layer minimizes defects and particles generated as a result of differential thermal stresses experienced by the aluminum component and outer aluminum oxide layer during plasma processing compared to an identically shaped component having a Type III anodized surface.
    Type: Grant
    Filed: September 23, 2005
    Date of Patent: March 25, 2014
    Assignee: Lam Research Corporation
    Inventors: Hong Shih, G. Grant Peng, Daxing Ren
  • Patent number: 8679255
    Abstract: A gas supply mechanism includes a gas introduction member having gas inlet portions through which a gas is introduced into a processing chamber, a processing gas supply unit, a processing gas supply path, branch paths, an additional gas supply unit and an additional gas supply path. The gas inlet portions includes inner gas inlet portions for supplying the gas toward a region where a target substrate is positioned in the chamber and an outer gas inlet portion for introducing the gas toward a region outside an outermost periphery of the target substrate. The branch paths are connected to the inner gas inlet portions, and the additional gas supply path is connected to the outer gas inlet portion.
    Type: Grant
    Filed: April 26, 2013
    Date of Patent: March 25, 2014
    Assignee: Tokyo Electron Limited
    Inventor: Noriiki Masuda
  • Publication number: 20140076849
    Abstract: An etching apparatus includes: a chamber configured to accommodate a substrate to be processed having an etching target film; a gas exhaust mechanism configured to exhaust an inside of the chamber; an etching gas supply mechanism configured to supply an etching gas into the chamber; and a gas cluster generation mechanism configured to generate a gas cluster in the chamber by spraying a cluster gas into the chamber, wherein a gas produced by a reaction when the etching target film is etched with the etching gas is discharged from the chamber by the gas cluster generated by the gas cluster generation mechanism.
    Type: Application
    Filed: September 10, 2013
    Publication date: March 20, 2014
    Applicant: Tokyo Electron Limited
    Inventor: Shuji MORIYA
  • Patent number: 8673080
    Abstract: A temperature controlled showerhead for chemical vapor deposition (CVD) chambers enhances heat dissipation to enable accurate temperature control with an electric heater. Heat dissipates by conduction through a showerhead stem and fluid passageway and radiation from a back plate. A temperature control system includes one or more temperature controlled showerheads in a CVD chamber with fluid passageways serially connected to a heat exchanger.
    Type: Grant
    Filed: July 29, 2008
    Date of Patent: March 18, 2014
    Assignee: Novellus Systems, Inc.
    Inventors: Henner Meinhold, Dan M. Doble, Stephen Lau, Vince Wilson, Easwar Srinivasan
  • Patent number: 8668775
    Abstract: A shower head for a chemical vapor deposition chamber can have a housing, a plurality of bosses formed upon the housing, and an inside cover. The bosses can have bores formed therethrough. The inside cover can be attached to the bosses and can have apertures formed therein such that the apertures are generally contiguous with the bores. The housing, the bosses, and the inside cover cooperate to communicate water through the shower head. The water can cool the shower head to a temperature that is substantially lower than the temperature of other parts of the chemical vapor deposition chamber, e.g., the susceptor thereof.
    Type: Grant
    Filed: March 28, 2008
    Date of Patent: March 11, 2014
    Assignee: Toshiba Techno Center Inc.
    Inventors: Vahid S. Moshtagh, Jeffrey C. Ramer