With Plasma Generation Means Remote From Processing Chamber Patents (Class 156/345.35)
  • Patent number: 8252118
    Abstract: There is provided a substrate support device capable of preventing powder dust from being produced. A thermoconductive intermediate member is interposed between a base table and a substrate support table and has a communication aperture path for communicating the aperture path of the base table with the aperture path of the substrate support table. An elastic member such as bellows tube is disposed in the communication aperture path of the thermoconductive intermediate member, for insulating the thermoconductive intermediate member from the inert gas which flows through the communication aperture path.
    Type: Grant
    Filed: April 14, 2009
    Date of Patent: August 28, 2012
    Assignee: Canon Anelva Corporation
    Inventors: Yohsuke Shibuya, Yasuyuki Shirai, Hirofumi Asanuma, Junji Nakamura
  • Patent number: 8252116
    Abstract: A seal-protected perimeter partition valve apparatus defines a vacuum and pressure sealed space within a larger space confining a substrate processing chamber with optimized geometry, minimized footprint, and 360° substrate accessibility. A compact perimeter partitioned assembly with seal protected perimeter partition valve and internally contained substrate placement member further provides processing system modularity and substantially minimized system footprint.
    Type: Grant
    Filed: February 4, 2010
    Date of Patent: August 28, 2012
    Assignee: Sundew Technologies, LLC
    Inventor: Ofer Sneh
  • Patent number: 8236106
    Abstract: A shower head is provided in a processing chamber for processing a substrate therein. Further, the shower head has a facing surface facing a mounting table for mounting thereon the substrate and serves to supply one or more gases through the facing surface toward the substrate. The shower head includes a central gas supply unit for supplying a first gas through a central portion of the facing surface toward the substrate, a peripheral gas supply unit for supplying a second gas through a peripheral portion of the facing surface toward the substrate and a gas exhaust unit, provided with a plurality of gas exhaust holes formed between the central gas supply unit and the peripheral gas supply unit, for exhausting the first and the second gas from the facing surface.
    Type: Grant
    Filed: March 13, 2009
    Date of Patent: August 7, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Hachishiro Iizuka, Fumiko Kiriishi, Tsuyoshi Komiyama
  • Publication number: 20120190207
    Abstract: A plasma processing apparatus performs plasma process by using a hydrogen radical generated by plasma-exciting a process gas containing hydrogen on a substrate to be processed. A high-frequency antenna includes an antenna device that is configured to resonate at a half-wavelength of high-frequency power applied from the high-frequency power source by opening two ends of the antenna device and grounding a center point of the antenna device. A barrier wall member for separating a plasma generating chamber and a plasma processing chamber includes a plurality of plate-shaped members having a plurality of openings through which the hydrogen radical passes, formed of an insulating material through which UV light does not pass, and overlapping each other at a predetermined interval, wherein the openings of one plate-shaped member are provided not to overlap the openings of another plate-shaped member.
    Type: Application
    Filed: January 24, 2012
    Publication date: July 26, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Eiichi NISHIMURA, Shigeru TAHARA
  • Patent number: 8221579
    Abstract: In a method of reusing a consumable part for use in a plasma processing apparatus, a silicon carbide (SiC) lump is formed by depositing SiC by chemical vapor deposition (CVD), and a consumable part for the plasma processing apparatus is manufactured by processing the SiC lump, the consumable part having a predetermined shape. A first plasma process is performed on a substrate by using the manufactured consumable part. A surface of the consumable part that has been eroded by the plasma process is subjected to a clean process for a specific period of time. SiC is deposited on the cleaned surface of the eroded consumable part by CVD. A consumable part having the predetermined shape is remanufactured by processing the eroded consumable part having the surface on which the SiC is deposited. A second plasma process is performed on a substrate by using the remanufactured consumable part.
    Type: Grant
    Filed: June 11, 2010
    Date of Patent: July 17, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Nobuyuki Nagayama, Naoyuki Satoh, Keiichi Nagakubo, Kazuya Nagaseki
  • Patent number: 8211231
    Abstract: A delivery device for thin-film material deposition has at least first, second, and third inlet ports for receiving a common supply for a first, a second and a third gaseous material, respectively. Each of the first, second, and third elongated emissive channels allow gaseous fluid communication with one of corresponding first, second, and third inlet ports. The delivery device can be formed from apertured plates, superposed to define a network of interconnecting supply chambers and directing channels for routing each of the gaseous materials from its corresponding inlet port to a corresponding plurality of elongated emissive channels. The delivery device comprises a diffusing channel formed by a relief pattern between facing plates. Also disclosed is a process for thin film deposition. Finally, more generally, a flow diffuser and a corresponding method of diffusing flow is disclosed.
    Type: Grant
    Filed: September 26, 2007
    Date of Patent: July 3, 2012
    Assignee: Eastman Kodak Company
    Inventors: Roger S. Kerr, David H. Levy, James T. Murray
  • Patent number: 8206604
    Abstract: A method for confining plasma within a plasma processing chamber while processing a substrate is provided. The method includes igniting the plasma within a plasma generating area, wherein the plasma generating area is surrounded by a set of confinement rings. The method also includes providing a chamber wall outside of the set of confinement rings. The method further includes providing a dielectric liner electrode arrangement positioned between the chamber wall and the set of confinement rings, wherein the dielectric liner electrode arrangement having an electrode encapsulated within a dielectric liner, the dielectric liner electrode arrangement being coupled with the chamber wall to create a modified chamber wall. The method yet also includes providing a parallel LC circuit arrangement, the parallel LC circuit arrangement being coupled between the dielectric liner electrode arrangement and the chamber wall.
    Type: Grant
    Filed: July 19, 2010
    Date of Patent: June 26, 2012
    Assignee: Lam Research Corporation
    Inventor: Sebastien Dine
  • Patent number: 8142607
    Abstract: An ion source, capable of generating high density wide ribbon ion beam, utilizing one or more helicon plasma sources is disclosed. In addition to the helicon plasma source(s), the ion source also includes a diffusion chamber. The diffusion chamber has an extraction aperture oriented along the same axis as the dielectric cylinder of the helicon plasma source. In one embodiment, dual helicon plasma sources, located on opposing ends of the diffusion chamber are used to create a more uniform extracted ion beam. In a further embodiment, a multicusp magnetic field is used to further improve the uniformity of the extracted ion beam.
    Type: Grant
    Filed: August 28, 2008
    Date of Patent: March 27, 2012
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Costel Biloiu, Alexander Perel, Jay Scheuer
  • Patent number: 8133347
    Abstract: Workpieces in a vacuum chamber are treated by receiving a mains voltage from a voltage supply network; generating at least one intermediate circuit voltage; generating a first RF signal of a basic frequency, and of a first phase position, from the at least one intermediate circuit voltage; generating a second RF signal of the basic frequency, and of a second phase position, from the at least one intermediate circuit voltage; and coupling the first and the second signal and generating an output signal for the vacuum chamber using a 3 dB coupler.
    Type: Grant
    Filed: October 24, 2008
    Date of Patent: March 13, 2012
    Assignee: HUETTINGER Elektronik GmbH + Co. KG
    Inventors: Michael Glück, Christoph Hofstetter, Gerd Hintz
  • Publication number: 20120031876
    Abstract: A plasma source includes multiple ring plasma chambers, multiple primary windings, multiple ferrites and a control system. Each one of the primary windings is wrapped around an exterior one of the ring plasma chambers. Each one of the plurality of the ring plasma chamber passes through a respective portion of the plurality of ferrites. The control system is coupled to each of the ring plasma chambers. A system and method for generating and using a plasma are also described.
    Type: Application
    Filed: August 6, 2010
    Publication date: February 9, 2012
    Inventors: Ali Shajii, Richard Gottscho, Souheil Benzerrouk, Andrew Cowe, Siddharth P. Nagarkatti, William R. Entley
  • Publication number: 20120035766
    Abstract: A plasma source includes a ring plasma chamber, a primary winding around an exterior of the ring plasma chamber, multiple ferrites, wherein the ring plasma chamber passes through each of the ferrites and multiple plasma chamber outlets coupling the plasma chamber to a process chamber. Each one of the plasma chamber outlets having a respective plasma restriction. A system and method for generating a plasma are also described.
    Type: Application
    Filed: August 6, 2010
    Publication date: February 9, 2012
    Inventors: Ali Shajii, Richard Gottscho, Souheil Benzerrouk, Andrew Cowe, Siddharth P. Nagarkatti, William R. Entley
  • Patent number: 8100081
    Abstract: The present invention provides methods and apparatuses for removing unwanted film from the edge area of substrate using remotely-generated plasmas. Activated plasma species are directed to the edge of the substrate to contact and remove the unwanted film, while intrusion of the activated species to areas above the active circuit region (where the film is desired) is suppressed. In certain embodiments, intrusion of the activated species is suppressed by the use of a purge gas and/or the use of materials that promote recombination of plasma species. In particular embodiments, atomic oxygen is used to remove ashable films from the edge of semiconductor wafers.
    Type: Grant
    Filed: August 31, 2006
    Date of Patent: January 24, 2012
    Assignee: Novellus Systems, Inc.
    Inventors: Jon Henri, Henner Meinhold, Christopher Gage, Dan Doble
  • Publication number: 20110309050
    Abstract: The present invention provides a plasma processing device and a plasma processing method that can easily adjust plasma density distribution while making the plasma density uniform, and a method of manufacturing an element including a substrate to be processed. In an embodiment of the present invention, the inside of a vacuum vessel (1) is divided by a grid (4) having communication holes into a plasma generation chamber (2) and a plasma processing chamber (5). On the upper wall (26) of the plasma generation chamber (2), magnetic coils (12) are arranged such that magnetic field lines within the vacuum vessel (1) point from the center of the vacuum vessel (1) to a side wall (27), and, outside the side wall (27) of the plasma generation chamber (2), ring-shaped permanent magnets (13) are arranged such that a polarity pointing to the inside of the vacuum vessel (1) is a north pole and a polarity pointing to the outside of the vacuum vessel (1) is a south pole.
    Type: Application
    Filed: July 29, 2011
    Publication date: December 22, 2011
    Applicant: CANON ANELVA CORPORATION
    Inventors: Kazuyuki Iori, Yukito Nakagawa
  • Publication number: 20110303363
    Abstract: A microwave plasma processing apparatus for plasma-processing a substrate by exciting a gas by the microwave includes a processing container formed of metal, a microwave source for outputting the microwave, a first dielectric member that faces an inner wall of the processing container and for transmitting the microwave output from the microwave source into the processing container, and a second dielectric member that is provided on an inner surface of the processing container and restrains the microwave from propagating along the inner surface of the processing container.
    Type: Application
    Filed: January 14, 2010
    Publication date: December 15, 2011
    Applicants: TOKYO ELECTRON LIMITED, TOHOKU UNIVERSITY
    Inventors: Masaki Hirayama, Tadahiro Ohmi
  • Patent number: 8075732
    Abstract: A method and apparatus that may comprise an EUV light producing mechanism utilizing an EUV plasma source material comprising a material that will form an etching compound, which plasma source material produces EUV light in a band around a selected center wavelength comprising: an EUV plasma generation chamber; an EUV light collector contained within the chamber having a reflective surface containing at least one layer comprising a material that does not form an etching compound and/or forms a compound layer that does not significantly reduce the reflectivity of the reflective surface in the band; an etchant source gas contained within the chamber comprising an etchant source material with which the plasma source material forms an etching compound, which etching compound has a vapor pressure that will allow etching of the etching compound from the reflective surface. The etchant source material may comprises a halogen or halogen compound.
    Type: Grant
    Filed: November 1, 2004
    Date of Patent: December 13, 2011
    Assignee: Cymer, Inc.
    Inventors: William N. Partlo, Richard L. Sandstrom, Igor V. Fomenkov, Alexander I. Ershov, William Oldham, William F. Marx, Oscar Hemberg
  • Publication number: 20110297319
    Abstract: A method for creating an oxide layer having a reduced copper concentration over a surface of an object comprising aluminum and copper for use in a semiconductor processing system. The oxide layer produced using a plasma electrolytic oxidation process has a reduced copper peak concentration, which decreases a risk of copper contamination, and includes magnesium oxides that can be converted to magnesium halide upon exposure to an excited halogen-comprising gas or halogen-comprising plasma to increase the erosion/corrosion resistance of the oxide layer.
    Type: Application
    Filed: June 4, 2010
    Publication date: December 8, 2011
    Applicant: MKS Instruments, Inc.
    Inventors: Xing Chen, Chengxiang Ji, Chiu-Ying Tai
  • Patent number: 8070879
    Abstract: In one embodiment, an apparatus for performing an atomic layer deposition (ALD) process is provided which includes a chamber body containing a substrate support, a lid assembly attached to the chamber body, a remote plasma system (RPS) in fluid communication with the reaction zone, a centralized expanding conduit extending through the lid assembly and expanding radially outwards, a first gas delivery sub-assembly configured to deliver a first process gas, and a second gas delivery sub-assembly configured to deliver a second process gas into the centralized expanding conduit. The first gas delivery sub-assembly contains an annular channel encircling and in fluid communication with the centralized expanding conduit, wherein the annular channel is adapted to deliver the first process gas through a plurality of passageways and nozzles and into the centralized expanding conduit. The second gas delivery sub-assembly contains a gas inlet in fluid communication to the centralized expanding conduit.
    Type: Grant
    Filed: August 20, 2009
    Date of Patent: December 6, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Ling Chen, Vincent W. Ku, Mei Chang, Dien-Yeh Wu, Hua Chung
  • Publication number: 20110284167
    Abstract: Disclosed is ICP plasma processing equipment wherein uniformity of plasma and plasma ignition are improved.
    Type: Application
    Filed: January 15, 2009
    Publication date: November 24, 2011
    Inventor: Ryoji Nishio
  • Patent number: 8057633
    Abstract: A post-etch treatment system is described for removing photoresist and etch residue formed during an etching process. For example, the etch residue can include halogen containing material. The post-etch treatment system comprises a vacuum chamber, a radical generation system coupled to the vacuum chamber, a radical gas distribution system coupled to the radical generation system and configured to distribute reactive radicals above a substrate, and a high temperature pedestal coupled to the vacuum chamber and configured to support the substrate. The high temperature pedestal comprises a scored upper surface configured to minimize substrate slippage.
    Type: Grant
    Filed: March 28, 2006
    Date of Patent: November 15, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Yuji Tsukamoto, Thomas Hamelin, Yasuhisa Kudo
  • Publication number: 20110259523
    Abstract: A microwave plasma processing apparatus includes a processing chamber; a microwave source that outputs a microwave; a dielectric plate that radiates the microwave output from the microwave source to the inside of the processing chamber; and a metal electrode provided on the side of a plasma-facing surface of the dielectric plate so as to be adjacent to the dielectric plate. Here, a part of the dielectric plate is exposed to the inside of the processing chamber at an outside of the metal electrode. Further, a cell area is defined as a virtual area that divides the ceiling surface of the processing chamber and is formed by two straight lines parallel to one diagonal line of the metal electrode and two straight lines parallel to the other diagonal line of the metal electrode and the cell area is a minimum rectangular area including the metal electrode and the dielectric plate.
    Type: Application
    Filed: November 2, 2009
    Publication date: October 27, 2011
    Applicants: TOKYO ELECTRON LIMITED, TOHOKU UNIVERSITY
    Inventor: Masaki Hirayama
  • Patent number: 8038836
    Abstract: A plasma processing apparatus includes a barrier wall member disposed between a plasma generation chamber and a processing chamber to separate the plasma generation chamber from the processing chamber. The barrier wall member assumes a fin structure achieved by disposing in a radial pattern numerous plate-like fin members extending from a central area thereof toward a peripheral edge. An upper end portion of each fin member overlaps a lower end portion of an adjacent fin member. The fin members are disposed with gaps formed between them and are made to range upward with a tilt along the circumferential direction.
    Type: Grant
    Filed: September 2, 2008
    Date of Patent: October 18, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Daisuke Hayashi
  • Publication number: 20110240223
    Abstract: There is provided a substrate processing system having high maintainability by widening a gap between various processing apparatuses connected with side surfaces of transfer modules and capable of achieving sufficient productivity by avoiding deterioration in throughput. The substrate processing system for manufacturing an organic EL device by forming a multiple number of layers including, e.g., an organic layer on a substrate includes at least one transfer module configured to be evacuable and arranged along a straight transfer route. Within the transfer module, a multiple number of loading/unloading areas for loading/unloading the substrate with respect to a processing apparatus and at least one stocking area positioned between the loading/unloading areas are alternately arranged along the transfer route in series, and the processing apparatus is connected with a side surface of the transfer module at a position facing each of the loading/unloading areas.
    Type: Application
    Filed: November 11, 2009
    Publication date: October 6, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Shinji Matsubayashi, Satoru Kawakami, Yasuhiro Tobe, Masaru Nishimura, Yasushi Yagi, Teruyuki Hayashi, Yuji Ono, Fumio Shimo
  • Publication number: 20110146909
    Abstract: Methods for wet cleaning quartz surfaces of components for plasma processing chambers in which semiconductor substrates are processed, such as etch chambers and resist stripping chambers, include contacting the quartz surface with at least one organic solvent, a basic solution and different acid solutions, so as to remove organic and metallic contaminants from the quartz surface. The quartz surface is preferably contacted with one of the acid solutions at least two times.
    Type: Application
    Filed: January 28, 2011
    Publication date: June 23, 2011
    Applicant: Lam Research Corporation
    Inventors: Hong Shih, Tuochuan Huang, Duane Outka, Jack Kuo, Shenjian Liu, Bruno Morel, Anthony Chen
  • Publication number: 20110136346
    Abstract: Non-oxidizing plasma treatment devices for treating a semiconductor workpiece generally include a substantially non-oxidizing gas source; a plasma generating component in fluid communication with the non-oxidizing gas source; a process chamber in fluid communication with the plasma generating component, and an exhaust conduit centrally located in a bottom wall of the process chamber. In one embodiment, the process chamber is formed of an aluminum alloy containing less than 0.15% copper by weight; In other embodiments, the process chamber includes a coating of a non-copper containing material to prevent formation of copper hydride during processing with substantially non-oxidizing plasma. In still other embodiments, the process chamber walls are configured to be heated during plasma processing. Also disclosed are non-oxidizing plasma processes.
    Type: Application
    Filed: December 4, 2009
    Publication date: June 9, 2011
    Applicant: AXCELIS TECHNOLOGIES, INC.
    Inventors: Phillip Geissbühler, Ivan Berry, Armin Huseinovic, Shijian Luo, Aseem Kumar Srivastava, Carlo Waldfried
  • Publication number: 20110132543
    Abstract: A brush type plasma surface treatment apparatus is provided. The brush type plasma surface treatment apparatus includes a frame for plasma generation units, a plurality of plasma generation units arranged in an array at the lower edge of the frame, a gas supply hole installed on one side of an upper edge of the frame and supplying a gas to the plurality of plasma generation units, and a power supply unit installed on the other side of the upper edge of the frame and supplying power to the plurality of plasma generation units.
    Type: Application
    Filed: November 18, 2010
    Publication date: June 9, 2011
    Applicant: ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE
    Inventor: Han Young YU
  • Patent number: 7942112
    Abstract: A system and method for preventing formation of a plasma-inhibiting substance within a plasma chamber is provided. In one embodiment, an apparatus that includes a barrier component configured to be disposed within a plasma chamber. The barrier component includes a wall that defines a plasma formation region where a chemically-reducing species is formed from a fluid. A portion of the wall is formed of a substance that is substantially inert to the chemically-reducing species. The wall prevents the chemically-reducing species from interacting with an inner surface of the plasma chamber to form a conductive substance. The barrier component also includes an opening in fluid communication with the plasma formation region. The fluid is introduced into the plasma formation region via the opening.
    Type: Grant
    Filed: December 4, 2006
    Date of Patent: May 17, 2011
    Assignee: Advanced Energy Industries, Inc.
    Inventors: Fernando Gustavo Tomasel, Justin Mauck, Andrew Shabalin, Denis Shaw, Juan Jose Gonzalez
  • Publication number: 20110108194
    Abstract: The invention provides a plasma processing apparatus in which ring-like conductors 8a and 8b are arranged closed to and along an induction antenna 1 composed of an inner circumference coil 1a and an outer circumference coil 1b. Ring-like conductors 8a and 8b are each characterized in that the radius from the center of the apparatus and the cross-sectional shape of the conductor body varies along the circumferential angle of the coils. Since the mutual inductances between the ring-like conductors 8a and 8b and the induction antenna 1 and between the ring-like conductors 8a and 8b and the plasma along the circumferential position are controlled, it becomes possible to compensate for the coil currents varied along the circumference of the coils of the induction antenna 1, and to improve the non-uniformity in the circumferential direction of the current in the generated plasma.
    Type: Application
    Filed: January 27, 2010
    Publication date: May 12, 2011
    Inventors: Ken YOSHIOKA, Motohiko Yoshigai, Ryoji Nishio, Tadayoshi Kawaguchi
  • Publication number: 20110094683
    Abstract: Apparatus for plasma processing are provided. In some embodiments, an RF feed structure includes a first RF feed to couple RF power to a plurality of symmetrically arranged stacked first RF coil elements; a second RF feed coaxially disposed about the first RF feed and electrically insulated therefrom, the second RF feed to couple RF power to a plurality of symmetrically arranged stacked second RF coil elements coaxially disposed with respect to the first RF coil elements. In some embodiments, a plasma processing apparatus includes a first RF coil; a second RF coil coaxially disposed with respect to the first RF coil; a first RF feed coupled to the first RF coil to provide RF power thereto; and a second RF feed coaxially disposed with respect to the first RF feed and electrically insulated therefrom, the second RF feed coupled to the second RF coil to provide RF power thereto.
    Type: Application
    Filed: June 23, 2010
    Publication date: April 28, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: ZHIGANG CHEN, SHAHID RAUF, KENNETH S. COLLINS, MARTIN JEFF SALINAS, SAMER BANNA, VALENTIN N. TODOROW
  • Patent number: 7909961
    Abstract: A method and apparatus for etching photomasks are provided herein. The apparatus includes a process chamber with a shield above a substrate support. The shield comprises a plate with apertures, and the plate has two zones with at least one characteristic, such as material or potential bias, that is different from each other. The method provides for etching a photomask substrate with a distribution of ions and neutral species that pass through the shield.
    Type: Grant
    Filed: October 30, 2006
    Date of Patent: March 22, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Ajay Kumar, Madhavi R. Chandrachood, Richard Lewington, Darin Bivens, Amitabh Sabharwal, Sheeba J. Panayil, Alan Hiroshi Ouye
  • Patent number: 7908104
    Abstract: The invention provides a method for detecting and managing the status of a plasma processing apparatus with high sensitivity so as to enable long-term stable processing. In a plasma processing apparatus comprising a vacuum processing chamber 10, a plasma generating high frequency power supply 16, and a measurement device unit 3 for estimating the status of the apparatus via reflected waves 54 of the incident waves 53 reflected from the processing apparatus including a waveform generator 32, a VCO 33, a directional coupler 34, a detector 35 and a measurement data processing unit 36, frequency-swept high frequency waves 53 for measurement are introduced to the processing chamber where no plasma discharge is performed, so as to monitor the change of absorption spectrum frequency of the reflected waves 54 to thereby monitor the change in status of the processing apparatus.
    Type: Grant
    Filed: February 4, 2008
    Date of Patent: March 15, 2011
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Tsutomu Tetsuka, Naoshi Itabashi, Atsushi Itou
  • Publication number: 20110049102
    Abstract: A remote plasma source comprises a first plate-like electrode (7s) and a second plate-like electrode (7b) which are arranged in parallelism and mutually electrically DC isolated. The two electrodes (7s, 7b) are operationally connected to an Rf generator (11). The first electrode (7s) has a surface which is freely exposed to a substrate holder (3) and has a pattern of through-openings (19) distributed along its surface extent.
    Type: Application
    Filed: April 30, 2009
    Publication date: March 3, 2011
    Applicant: OERLIKON TRADING AG, TRUEBBACH
    Inventors: Ulrich Kroll, Boris Legradic
  • Patent number: 7883601
    Abstract: An apparatus for controlling a plasma etching process includes plasma control structure that can vary a size of a plasma flow passage, vary a speed of plasma flowing through the plasma flow passage, vary plasma concentration flowing through the plasma flow passage, or a combination thereof.
    Type: Grant
    Filed: January 19, 2007
    Date of Patent: February 8, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shih Ming Chang, Chi-Lun Lu
  • Publication number: 20110005683
    Abstract: Provided is a plasma generating apparatus. The plasma generating apparatus includes a vacuum chamber, an ElectroStatic Chuck (ESC), an antenna unit, and an antenna cover. The vacuum chamber has a hollow interior and is sealed at a top. The ESC disposed at an internal center of the vacuum chamber receives an external bias Radio Frequency (RF). The antenna unit covers and seals the through-hole of an insulating vacuum plate. The antenna cover covers a top of the antenna unit and has a gas injection port.
    Type: Application
    Filed: August 13, 2007
    Publication date: January 13, 2011
    Inventor: Hong-Seub Kim
  • Publication number: 20110005684
    Abstract: The present invention relates to a plasma processing apparatus in which it is possible to efficiently perform maintenance of a processing chamber. A plasma processing apparatus 1 has a processing chamber 11 including a lower chamber 12 and an upper chamber 13, a platen 20 on which a silicon substrate K is placed, a processing gas supply device 27, coils 32, high-frequency power supply unit for coil 33, an elevating board 41 with a through hole 41a provided to be vertically movable, an elevating mechanism 42 for supporting and moving up and down the elevating board 41, and a fixing mechanism 46 for fixing the upper chamber 13. The fixing member 46 is configured from a fixing board 47, first fixing bolts 48, 49 for connecting and fixing an top plate 16 to the elevating board 41 using the fixing board 47, second fixing bolts 50 for fixing a flange portion 32b of a holding member 32 to an annular plate 14, and third fixing bolts 51 for fixing the annular plate 14 to a sidewall 12a of the lower chamber 12.
    Type: Application
    Filed: December 3, 2008
    Publication date: January 13, 2011
    Inventors: Toshihiro Hayami, Yasuyuki Hayashi
  • Patent number: 7862683
    Abstract: An apparatus and method for improving the chamber dry cleaning of a PECVD system. The apparatus includes an annular gas ring with multiple outlets for introducing a cleaning gas into the process chamber, and the method includes using the gas ring to introduce a cleaning species from a remote plasma source into the processing chamber.
    Type: Grant
    Filed: December 2, 2005
    Date of Patent: January 4, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Noriaki Fukiage
  • Patent number: 7850864
    Abstract: There are proposed a plasma treating apparatus and a plasma treating method using the same capable of improving the durability of site, member and parts in a chamber used for plasma etching in a corrosive gas atmosphere, which are exposed to the plasma atmosphere, and improving the resistance to plasma erosion of a coating formed on the surface of the member or the like in the corrosive gas atmosphere and preventing the occurrence of particles of a corrosion product even under a high plasma power. As a means therefore, in a plasma treating apparatus wherein a surface of a body to be treated in a chamber is subjected to a plasma treatment with an etching gas, at least surfaces of sites of the chamber itself exposing to the plasma atmosphere, or surfaces of a member or parts accommodated in the chamber are covered with a composite layer including a porous layer made from a metal oxide and a secondary recrystallized layer of the metal oxide formed on the porous layer.
    Type: Grant
    Filed: March 20, 2007
    Date of Patent: December 14, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Yoshiyuki Kobayashi
  • Publication number: 20100279028
    Abstract: A method for confining plasma within a plasma processing chamber while processing a substrate is provided. The method includes igniting the plasma within a plasma generating area, wherein the plasma generating area is surrounded by a set of confinement rings. The method also includes providing a chamber wall outside of the set of confinement rings. The method further includes providing a dielectric liner electrode arrangement positioned between the chamber wall and the set of confinement rings, wherein the dielectric liner electrode arrangement having an electrode encapsulated within a dielectric liner, the dielectric liner electrode arrangement being coupled with the chamber wall to create a modified chamber wall. The method yet also includes providing a parallel LC circuit arrangement, the parallel LC circuit arrangement being coupled between the dielectric liner electrode arrangement and the chamber wall.
    Type: Application
    Filed: July 19, 2010
    Publication date: November 4, 2010
    Inventor: Sebastien Dine
  • Publication number: 20100276393
    Abstract: A plasma processing apparatus includes a chamber to provide an inner area in which a process is performed upon an object, and a plasma source to generate an electric field in the inner area and thereby to generate plasma from a source gas supplied in the inner area, wherein the plasma source comprises a top source provided in the top of the chamber, and a side source encompassing the side of the chamber and allowing current to flow from the one side of the chamber to the other side thereof.
    Type: Application
    Filed: January 15, 2009
    Publication date: November 4, 2010
    Applicant: EUGENE TECHNOLOGY CO., LTD.
    Inventors: Sang-Ho Woo, Il-Kwang Yang
  • Patent number: 7822494
    Abstract: A method is provided of generating and using a control program for a obtain plasma process. The method comprises obtaining predetermined process data defining, in a series of time steps, changes in at least one control parameter for the plasma process during the said process. Control data are generated from the process data, the control data defining the at least one control parameter at a plurality of discrete times within each step. The control data are presented graphically to a user, using an interactive display device. The control data are modified in response to the operation by the user of the interactive display device, so as to generate the control program.
    Type: Grant
    Filed: April 25, 2006
    Date of Patent: October 26, 2010
    Assignee: Oxford Instruments Plasma Technology Limited
    Inventors: Andrew Leonard Goodyear, Philip Douglas Rossbrook
  • Publication number: 20100258247
    Abstract: According to the present invention, a long electric discharge path is formed, and a workpiece is irradiated with an atmospheric plasma of a long rectangular area. An argon flow at a first gas outlet forms argon plasma by high-frequency electric power between the first and second electrodes, and the plasma is jetted as an auxiliary plasma in the longitudinal direction from the left end of a primary plasma-generating zone. Another argon flow at a second gas outlet forms argon plasma by high-frequency electric power between the third and fourth electrodes, and the plasma is jetted as an auxiliary plasma in the longitudinal direction from the right end of the primary plasma-generating zone. When high-frequency electric power is applied to the first and third electrodes, electric discharge occurs between two argon plasmas flowing from both ends of the primary plasma-generating zone. Through the electric discharge, the discharge state is maintained in the entire primary plasma-generating zone.
    Type: Application
    Filed: March 12, 2010
    Publication date: October 14, 2010
    Applicant: NU Eco Engineering Co., Ltd.
    Inventors: Masaru Hori, Hiroyuki Kano
  • Patent number: 7811409
    Abstract: Bare aluminum baffles are adapted for resist stripping chambers and include an outer aluminum oxide layer, which can be a native aluminum oxide layer or a layer formed by chemically treating a new or used bare aluminum baffle to form a thin outer aluminum oxide layer.
    Type: Grant
    Filed: November 29, 2007
    Date of Patent: October 12, 2010
    Assignee: Lam Research Corporation
    Inventors: Fred D. Egley, Michael S. Kang, Anthony L. Chen, Jack Kuo, Hong Shih, Duane Outka, Bruno Morel
  • Patent number: 7799134
    Abstract: A shower plate 122 has protrusions 22 formed on the front face used with a first electrode in a plasma CVD apparatus. A plane-surface portion 23 is left around apertures of gas inlet holes 21 formed in the shower plate 122. With protrusions 22 being formed, a surface area of the first electrode is increased.
    Type: Grant
    Filed: February 18, 2005
    Date of Patent: September 21, 2010
    Assignee: ASM Japan K.K.
    Inventors: Naoto Tsuji, Hideaki Fukuda, Hiroki Arai, Yoshinori Morisada, Tamihiro Kobayashi
  • Patent number: 7799138
    Abstract: The method and apparatus of the embodiments of the present invention employ an in-situ particle decontamination technique that allows for such decontamination while a wafer is a vacuum tool or deposition chamber, thereby eliminating the need for another device for performing decontamination. This in-situ decontamination is effective for particle contamination resulting, for example, from tool resident mechanical component. Furthermore, particle decontamination is performed in the presence of plasma, having a potential for helping to maximize a “self bias” voltage, under RF conditions, and is integrated into the vacuum process.
    Type: Grant
    Filed: June 22, 2006
    Date of Patent: September 21, 2010
    Assignee: Hitachi Global Storage Technologies Netherlands
    Inventors: Paul Alejon Fontejon, Jr., Yunxiao Gao, Yinshi Liu, Ning Shi
  • Patent number: 7772030
    Abstract: A method and apparatus for the decapsulation of integrated circuit packages. The apparatus includes a support member, the support member having an open region and an adjustable device coupled to the support member. The adjustable device can be adapted to hold a BGA package such that a surface region of the BGA package is spatially disposed to face a decapsulation source and a plurality of balls on the BGA package remain free from contact from the decapsulation source and free from contact from a thermal source capable of causing damage to one or more of the balls. The decapsulation source is provided to subject a portion of the surface region of the BGA package for removal of the portion of the BGA package.
    Type: Grant
    Filed: December 23, 2006
    Date of Patent: August 10, 2010
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Chun Kui Ji, Shan An Liang, Zhi Rong Guo, Min Pan
  • Publication number: 20100193129
    Abstract: An apparatus for generating a dielectric-barrier discharge gas including a high-energy radical gas, at a high density and with high efficiency. A flat-plate-like first electrode and a flat-plate-like second electrode are arranged in opposite positions, and a dielectric body is arranged between the two electrodes. A discharge space is located between the first electrode and the dielectric body, within a gap between the first electrode and the dielectric body. The discharge space has three sides which are gas shielded and a fourth side which opens to end surfaces of the first electrode and the dielectric body. A cooling section cools at least the first electrode and a gas supply section supplies a raw material gas to the discharge space part. A dielectric-barrier discharge is generated in the discharge space part by applying an AC voltage to the first electrode and the second electrode.
    Type: Application
    Filed: August 31, 2007
    Publication date: August 5, 2010
    Inventors: Yoichiro Tabata, Kensuke Watanabe
  • Patent number: 7748344
    Abstract: An ion shower system is disclosed and comprises a plasma source operable to generate source gas ions within a chamber. The plasma source further comprises a plurality of conductor segments and a plurality of capacitors, wherein the conductor segments are serially connected through the plurality of capacitors. The plasma source further comprises an antenna drive circuit coupled to the plurality of conductor segments that provides power to the conductor segments and capacitors at a predetermined frequency. The ion shower system also comprises a source gas inlet that provides a source gas to the chamber. The conductor segments, capacitors and antenna drive circuit cooperatively provide energy to charged particles in the chamber, thereby energizing the charged particles and generating a plasma comprising source gas ions and electrons within the chamber due to ionizing collisions between the energized charged particles and the source gas.
    Type: Grant
    Filed: November 6, 2003
    Date of Patent: July 6, 2010
    Assignee: Axcelis Technologies, Inc.
    Inventors: William F. DiVergilio, Victor M. Benveniste, Peter L. Kellerman
  • Publication number: 20100154996
    Abstract: A movable plasma confinement structure configured for confining plasma in a plasma processing chamber during plasma processing of a substrate is provided. The movable plasma confinement structure includes a movable plasma-facing structure configured to surround the plasma. The movable plasma confinement structure also includes a movable electrically conductive structure disposed outside of the movable plasma-facing structure and configured to be deployed and retracted with the movable plasma-facing structure as a single unit to facilitate handling of the substrate. The movable electrically conductive structure is radio frequency (RF) grounded during the plasma processing. The movable plasma-facing structure is disposed between the plasma and the movable electrically conductive structure during the plasma processing such that RF current from the plasma flows to the movable electrically conductive structure through the movable plasma-facing structure during the plasma processing.
    Type: Application
    Filed: January 28, 2009
    Publication date: June 24, 2010
    Inventors: Eric Hudson, Andreas Fischer
  • Publication number: 20100130017
    Abstract: Front end of line (FEOL) plasma mediated ashing processes for removing organic material from a substrate generally includes exposing the substrate to the plasma to selectively remove photoresist, implanted photoresist, polymers and/or residues from the substrate, wherein the plasma contains a ratio of active nitrogen and active oxygen that is larger than a ratio of active nitrogen and active oxygen obtainable from plasmas of gas mixtures comprising oxygen gas and nitrogen gas. The plasma exhibits high throughput while minimizing and/or preventing substrate oxidation and dopant bleaching. Plasma apparatuses are also described.
    Type: Application
    Filed: November 21, 2008
    Publication date: May 27, 2010
    Applicant: AXCELIS TECHNOLOGIES, INC.
    Inventors: Shijian Luo, Orlando Escorcia, Carlo Waldfried, Ivan Berry
  • Patent number: 7717061
    Abstract: A processing apparatus is disclosed which is capable of switching supplies of a raw material gas and a reducing gas alternately, while continuously forming a plasma of the reducing gas. An excitation device (12) excites a reducing gas supplied thereinto, and the excited reducing gas is supplied into a process chamber (2). A switching mechanism (20) is arranged between the excitation device (12) and the process chamber (2), and a bypass line (22) is connected to the switching mechanism (20). The switching mechanism (20) switches the flow of the excited reducing gas from the excitation device (12) between the process chamber (2) and the bypass line (22).
    Type: Grant
    Filed: September 23, 2005
    Date of Patent: May 18, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Tadahiro Ishizaka, Naoki Yoshii, Kohei Kawamura, Yukio Fukuda, Takashi Shigeoka, Yasuhiko Kojima, Yasuhiro Oshima, Junichi Arami, Atsushi Gomi
  • Publication number: 20100108264
    Abstract: A method for controlling critical dimension (CD) of etch features in an etch layer disposed below a functionalized organic mask layer disposed below an intermediate mask layer, disposed below a patterned photoresist mask, which forms a stack is provided. The intermediate mask layer is opened by selectively etching the intermediate mask layer with respect to the patterned photoresist mask. The functionalized organic mask layer is opened. The functionalized organic mask layer opening comprises flowing an open gas comprising COS, forming a plasma, and stopping the flowing of the open gas. The etch layer is etched.
    Type: Application
    Filed: November 3, 2008
    Publication date: May 6, 2010
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Gerardo A. Delgadino, Robert C. Hefty