With Heating Or Cooling Means For Apparatus Part Other Than Workpiece Support Patents (Class 156/345.37)
  • Patent number: 8075692
    Abstract: Fluidized bed reactor systems for producing high purity silicon-coated particles are disclosed. A vessel has an outer wall, an insulation layer inwardly of the outer wall, at least one heater positioned inwardly of the insulation layer, a removable concentric liner inwardly of the heater, a central inlet nozzle, a plurality of fluidization nozzles, at least one cooling gas nozzle, and at least one product outlet. The system may include a removable concentric sleeve inwardly of the liner. In particular systems the central inlet nozzle is configured to produce a primary gas vertical plume centrally in the reactor chamber to minimize silicon deposition on reactor surfaces.
    Type: Grant
    Filed: November 17, 2010
    Date of Patent: December 13, 2011
    Assignee: Rec Silicon Inc
    Inventors: E. Wayne Osborne, Michael V. Spangler, Levi C. Allen, Robert J. Geertsen, Paul E. Ege, Walter J. Stupin, Gerald Zeininger
  • Publication number: 20110287632
    Abstract: A movable symmetric chamber liner in a plasma reaction chamber, for protecting the plasma reaction chamber, enhancing the plasma density and uniformity, and reducing process gas consumption, comprising a cylindrical wall, a bottom wall with a plurality of openings, a raised inner rim with an embedded heater, heater contacts, and RF ground return contacts. The chamber liner is moved by actuators between an upper position at which substrates can be transferred into and out of the chamber, and a lower position at which substrate are processed in the chamber. The actuators also provide electrical connection to the heater and RF ground return contacts.
    Type: Application
    Filed: May 21, 2010
    Publication date: November 24, 2011
    Applicant: Lam Research Corporation
    Inventors: Danny Brown, Leonard Sharpless
  • Patent number: 8062471
    Abstract: Provided is an apparatus and a method for heating fluid in a proximity head. A fluid source supplies fluid to a channel within the proximity head. The fluid flows in the channel, through the proximity head, to an outlet port located on a bottom surface of the proximity head. Further, within the proximity head is a heating portion that heats the fluid. Various methods can heat the fluid in the heating portion. For example, the fluid can be heated via resistive heating and heat exchange. However, any mechanism for heating fluid in the proximity head is possible. After heating the fluid, the proximity head delivers the heated fluid through the outlet port to a surface of a semiconductor wafer. An inlet port proximately disposed near the outlet port vacuums the heated fluid to remove the heated fluid from the surface of the semiconductor wafer.
    Type: Grant
    Filed: March 31, 2004
    Date of Patent: November 22, 2011
    Assignee: LAM Research Corporation
    Inventors: Katrina Mikhaylichenko, John deLarios
  • Publication number: 20110247649
    Abstract: The invention relates to a method of cleaning and/or sterilization of an object provided in a hermetically sealed enclosure, providing a pressure difference between an internal volume of the enclosure and surroundings and generating a plasma solely inside the enclosure for said cleaning and/or sterilization of the object. The invention further relates to an apparatus for enabling the same. The apparatus 10 comprises a vacuum chamber 1, which can be evacuated using a vacuum pump 2, and a source 3 arranged to generate plasma of a suitable gas in an enclosure 8, which is substantially hermetically closed with respect to the atmosphere of the vacuum chamber. The enclosure 8 may be of a flexible type or may be manufactured from a rigid material. In case when the enclosure is rigid the pressure inside the enclosure may be lower than an outside pressure.
    Type: Application
    Filed: October 16, 2009
    Publication date: October 13, 2011
    Applicant: Nederlandse Organisatie Voor Toegepast- Natuurwetenschappelijk Onderzoek TNO
    Inventors: Norbertus Benedictus Koster, René Koops, Kemal Agovic, Fokko Pieter Wieringa
  • Patent number: 8020513
    Abstract: A device for plasma depositing a thin film of a barrier material on an internal wall of a container includes: an electromagnetic wave generator; a cavity connected to the generator and made of a conductive material; a chamber positioned in the cavity and made of a material that is transparent to electromagnetic waves coming from the generator; and openings made in the cavity and oriented parallel to one another.
    Type: Grant
    Filed: October 23, 2006
    Date of Patent: September 20, 2011
    Assignee: Sidel Participations
    Inventor: Jean-Michel Rius
  • Publication number: 20110217806
    Abstract: An electrode (3i) of a radiofrequency parallel plate plasma reactor comprises an electrode surface of a multitude of surfaces of metal members (28) which reside on dielectric spacing members (29), whereby the metal members (28) are mounted in an electrically floating manner. The dielectric members (29) are mounted, opposite to the metal members (28), upon a metal Rf supply body (14a).
    Type: Application
    Filed: September 28, 2009
    Publication date: September 8, 2011
    Applicant: OERLIKON SOLAR AG, TRUEBBACH
    Inventor: Stephan Jost
  • Publication number: 20110214694
    Abstract: Disclosed is a supercritical processing apparatus and a supercritical processing method for suppressing the pattern collapse or the injection of material constituting a processing liquid into a substrate. A processing chamber receives a substrate subjected to a processing with supercritical fluid, and a liquid supply unit supplies a processing liquid including a fluorine compound to the processing chamber. A liquid discharge unit discharges the supercritical fluid from the processing chamber, a pyrolysis ingredient removing unit removes an ingredient facilitating the pyrolysis of a liquid from the processing chamber or from the liquid supplied from the liquid supply unit, and a to heating unit heats the processing liquid including a fluorine compound of hydrofluoro ether or hydrofluoro carbon.
    Type: Application
    Filed: March 3, 2011
    Publication date: September 8, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Takayuki TOSHIMA, Mitsuaki IWASHITA, Kazuyuki MITSUOKA, Hidekazu OKAMOTO, Hideo NAMATSU
  • Publication number: 20110209830
    Abstract: [Object] To provide a take-up vacuum processing apparatus that prevents breakage due to heat generation and occurrence of dielectric breakdown and is suitable for life extension. [Solving Means] An RF electrode (6) is arranged in a vacuum chamber (15). Therefore, for example, compared to the case where a rotation introduction unit such as a capacitor coupling is arranged in an atmospheric pressure, the occurrence of dielectric breakdown between a roller electrode (18) and the RF electrode (6) can be prevented if the inside of the vacuum chamber (15) is maintained in a predetermined degree of vacuum. Further, there are caused no problems of breakage due to heat generation in a conventional rotation introduction unit such as a rotary connector.
    Type: Application
    Filed: October 27, 2009
    Publication date: September 1, 2011
    Applicant: ULVAC, INC.
    Inventors: Takayoshi Hirono, Isao Tada
  • Patent number: 7993705
    Abstract: A method for using a film formation apparatus includes performing film formation of a product film selected from the group consisting of a silicon nitride film and a silicon oxynitride film on a target substrate within a reaction chamber of the film formation apparatus; and unloading the target substrate from the reaction chamber. Thereafter, the method includes first heating an inner surface of the reaction chamber at a post process temperature while supplying a post process gas for nitridation into the reaction chamber, thereby performing nitridation of a by-product film deposited on the inner surface of the reaction chamber; then rapidly cooling the inner surface of the reaction chamber, thereby cracking the by-product film by a thermal stress; and then forcibly exhausting gas from inside the reaction chamber to carry the by-product film, thus peeled off from the inner surface.
    Type: Grant
    Filed: June 27, 2007
    Date of Patent: August 9, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Nobutake Nodera, Kazuhide Hasebe, Kazuya Yamamoto
  • Patent number: 7964058
    Abstract: A processing system and method for chemically treating a substrate, wherein the processing system comprises a temperature controlled chemical treatment chamber, and an independently temperature controlled substrate holder for supporting a substrate for chemical treatment. The substrate holder is thermally insulated from the chemical treatment chamber. The substrate is exposed to a gaseous chemistry, without plasma, under controlled conditions including wall temperature, surface temperature and gas pressure. The chemical treatment of the substrate chemically alters exposed surfaces on the substrate.
    Type: Grant
    Filed: May 11, 2005
    Date of Patent: June 21, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Thomas Hamelin, Jay Wallace, Arthur H LaFlamme, Jr.
  • Publication number: 20110114601
    Abstract: Embodiments of the present invention generally provide a plasma source apparatus, and method of using the same, that is able to generate radicals and/or gas ions in a plasma generation region that is symmetrically positioned around a magnetic core element by use of an electromagnetic energy source. In general, the orientation and shape of the plasma generation region and magnetic core allows for the effective and uniform coupling of the delivered electromagnetic energy to a gas disposed in the plasma generation region. In general, the improved characteristics of the plasma formed in the plasma generation region is able to improve deposition, etching and/or cleaning processes performed on a substrate or a portion of a processing chamber that is disposed downstream of the plasma generation region.
    Type: Application
    Filed: November 18, 2010
    Publication date: May 19, 2011
    Applicant: Applied Materials, Inc.
    Inventors: Dmitry LUBOMIRSKY, Jang-Gyoo YANG, Matthew MILLER, Jay PINSON, Kien CHUC
  • Publication number: 20110108195
    Abstract: Provided is a temperature adjusting mechanism, which can keep deviation of a temperature of a portion in contact with the temperature adjusting mechanism to be small by controlling the temperature accurately at a high speed. A semiconductor manufacturing apparatus using such temperature adjusting mechanism is also provided. A cooling jacket (6) is provided with a cooling channel (61), and a heat lane (62). The heat lane (62) is provided with a heat receiving section (63), and a heat dissipating section (64), and seals a two-phase condensable working fluid (hereinafter referred to as the working liquid) in an annular narrow tube alternately folds back and forth therebetween. The heat dissipating section (64) is a portion to be cooled by the cooling channel (61), and the heat receiving section (63) is a portion having a temperature higher than that of the heat dissipating section (64).
    Type: Application
    Filed: June 30, 2009
    Publication date: May 12, 2011
    Applicant: Tokyo Electron Limited
    Inventor: Shinya Nishimoto
  • Publication number: 20110100555
    Abstract: A semiconductor device manufacturing apparatus includes a process chamber, a conveyance chamber, a conveyance robot, a lock chamber, and a heating unit or temperature adjusting unit for reducing adherence of particles onto a substance to be processed by a thermo-phoretic force. The heating unit enables control of a temperature of the substance to be processed to be higher than a temperature of an inner wall or structural body of the process chamber or the conveyance chamber or the conveyance robot or the lock chamber, in conveying the substance to be processed. The temperature adjusting unit enables adjustment of a temperature of an inner wall or structural body of the process chamber or the conveyance chamber or the lock chamber to be lower than a temperature of the substance to be processed, in conveying the substance to be processed.
    Type: Application
    Filed: January 10, 2011
    Publication date: May 5, 2011
    Inventors: Hiroyuki Kobayashi, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa
  • Patent number: 7935188
    Abstract: The invention is a vertical thermal processing apparatus including: a processing container that contains an object to be processed; a main heater provided so as to surround the processing container, the main heater being capable of heating the processing container and having a rapid cooling function; a gas-discharging part formed at an upper portion of the processing container, the gas-discharging part being bent; an auxiliary heater provided so as to heat the gas-discharging part; a moving mechanism for evacuating the auxiliary heater away from the gas-discharging part during a rapid cooling process of the main heater; and a forcibly gas-discharging mechanism for forcibly discharging an atmospheric gas in a vicinity of the gas-discharging part.
    Type: Grant
    Filed: August 24, 2005
    Date of Patent: May 3, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Wataru Nakajima, Takuya Oikawa, Hisashi Inoue
  • Publication number: 20110097901
    Abstract: Embodiments of dual mode inductively coupled plasma reactors and methods of use of same are provided herein. In some embodiments, a dual mode inductively coupled plasma processing system may include a process chamber having a dielectric lid and a plasma source assembly disposed above the dielectric lid. The plasma source assembly includes a plurality of coils configured to inductively couple RF energy into the process chamber to form and maintain a plasma therein, a phase controller for adjusting the relative phase of the RF current applied to each coil in the plurality of coils, and an RF generator coupled to the phase controller and the plurality of coils.
    Type: Application
    Filed: June 23, 2010
    Publication date: April 28, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: SAMER BANNA, VALENTIN N. TODOROW, KENNETH S. COLLINS, ANDREW NGUYEN, MARTIN JEFF SALINAS, ZHIGANG CHEN, ANKUR AGARWAL, ANNIRUDDHA PAL, TSE-CHIANG WANG, SHAHID RAUF
  • Patent number: 7901509
    Abstract: A heating apparatus for regulating/controlling the surface temperature of a substrate is provided. At least a thermal pyrolytic graphite (TPG) layer is embedded in the heater to diffuse the temperature difference of the various components in the heating apparatus and provide temporal and spatial control of the surface temperature of the substrate, for a relatively uniform substrate temperature with the difference between the maximum and minimum temperature points on the substrate of less than 10° C.
    Type: Grant
    Filed: October 16, 2006
    Date of Patent: March 8, 2011
    Assignee: Momentive Performance Materials Inc.
    Inventors: John Mariner, Ajit Sane, Toshiki Ebata, Marc Schaepkens, Xiang Liu, Wei Fan
  • Publication number: 20110000619
    Abstract: A rotational antenna and a semiconductor manufacturing device provided with the same are disclosed. The rotational antenna includes a plurality of coils connected in parallel to a high frequency power source and arranged at a regular interval around an axis in a symmetrical relationship with respect to the axis, wherein an electromagnetic field for generating inductively coupled plasma is uniformly formed when the coils are rotated about the axis.
    Type: Application
    Filed: March 4, 2008
    Publication date: January 6, 2011
    Applicant: ALLIED TECHFINDERS CO., LTD
    Inventor: Kee Won Suh
  • Patent number: 7862659
    Abstract: The present invention relates to a semiconductor manufacturing device that a maintenance or a repairing is easy so that an efficiency of manufacturing can be enhanced because a high temperature of a susceptor can be rapidly down. The present invention relates to a semiconductor manufacturing device that an efficiency of manufacturing can be enhanced because a heater for heating the semiconductor is heated by an external heating device.
    Type: Grant
    Filed: June 12, 2008
    Date of Patent: January 4, 2011
    Assignee: TTS Co., Ltd.
    Inventors: You-Dong Lim, Jae-Ho Byun
  • Publication number: 20100326600
    Abstract: A plasma dry etching apparatus includes a pedestal in a process chamber, the pedestal being configured to support a wafer, a cathode electrode and a plate electrode in the process chamber, the cathode and plate electrodes being configured to apply radio-frequency (RF) power, an edge ring on an edge of the pedestal, a coupling ring having a first side on the pedestal and a second side on the edge ring, an edge cooling unit in the coupling ring, the edge cooling unit being configured to cool the edge ring to drop a temperature of an extreme edge of the wafer, and an edge heating unit in the coupling ring, the edge heating unit being configured to heat the edge ring to raise the temperature of an extreme edge of the wafer.
    Type: Application
    Filed: June 3, 2010
    Publication date: December 30, 2010
    Inventors: Min-Joon PARK, Su-Hong Kim
  • Publication number: 20100300621
    Abstract: A method of transferring heat from or to a workpiece support in an RF coupled plasma reactor includes placing coolant in an internal flow channel that is located inside the workpiece support and transferring heat from or to the coolant by circulating the coolant through a refrigeration loop in which the internal flow channel of the workpiece support constitutes an evaporator of the refrigeration loop. The method further includes maintaining thermal conditions of the coolant inside the evaporator within a range in which heat exchange between the workpiece support and the coolant is primarily or exclusively through the latent heat of vaporization of the coolant.
    Type: Application
    Filed: August 12, 2010
    Publication date: December 2, 2010
    Inventors: Paul Lukas Brillhart, Richard Fovell, Douglas A. Buchberger, JR., Douglas H. Burns, Kallol Bera, Daniel J. Hoffman
  • Publication number: 20100282710
    Abstract: Embodiments of gate valves and methods of using same are provided herein. In some embodiments, a gate valve for use in a process chamber may include a body having an opening disposed therethrough from a first surface to an opposing second surface of the body; a pocket extending into the body from a sidewall of the opening; a gate movably disposed within the pocket between a closed position that seals the opening and an open position that reveals the opening and disposes the gate completely within the pocket; and a shutter configured to selectively seal the pocket when the gate is disposed in the open position. In some embodiments, one or more heaters may be coupled to at least one of the body or the shutter.
    Type: Application
    Filed: May 7, 2009
    Publication date: November 11, 2010
    Applicant: APPLIED MATERIALS, INC.
    Inventors: SHIN KITAMURA, MITSUTOSHI FUKADA
  • Publication number: 20100263796
    Abstract: A plasma processing apparatus includes a processing chamber, a sample stage for mounting an object to be processed, a power supply, and at least one induction coil connected to the power supply. The induction coil is formed by connecting at least two identical coil elements in a parallel circuit-like arrangement so that current flows in each of the plurality of identical coil elements in a same direction when viewed from the sample stage. The induction coil is positioned so that a center thereof corresponds to a center of the object, and input ends of the coil elements are displaced circumferentially at equal angular intervals calculated by dividing 360° by the number of identical coil elements.
    Type: Application
    Filed: May 20, 2010
    Publication date: October 21, 2010
    Inventors: Manabu Edamura, Go Miya, Ken Yoshioka
  • Patent number: 7815739
    Abstract: A semiconductor processing system includes a casing forming a handling area. The handling area includes a main-process area and a pre-process area divided from each other and connected through an openable port. The main-process area and the pre-process area are connected to their own lines for vacuum-exhausting gas therefrom and their own lines for supplying an inactive gas thereinto and adjust pressure independently. A transfer port unit is disposed on the casing to place a transfer container that stores target objects. The transfer port unit allows the transfer container to open to the main-process area while maintaining an airtightness of the main-process area. The system includes a vertical batch main-processing apparatus. The system also includes a vertical batch pre-processing apparatus connected to the pre-process area and that performs a pre-process on the target objects and transforms a semiconductor oxide film on the target objects into an intermediate film.
    Type: Grant
    Filed: February 16, 2006
    Date of Patent: October 19, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Hiroyuki Matsuura
  • Publication number: 20100243164
    Abstract: A replaceable upper chamber section of a plasma reaction chamber in which semiconductor substrates can be processed comprises a monolithic metal cylinder having a conical inner surface which is widest at an upper end thereof, an upper flange extending horizontally outward away from the conical inner surface and a lower flange extending horizontally away from the conical inner surface. The cylinder includes an upper annular vacuum sealing surface adapted to seal against a dielectric window of the plasma chamber and a lower annular vacuum sealing surface adapted to seal against a bottom section of the plasma chamber. A thermal mass at an upper portion of the cylinder is defined by a portion of the cylinder between the conical inner surface and an outer surface extending vertically from the upper flange, the thermal mass being effective to provide azimuthal temperature uniformity of the conical inner surface.
    Type: Application
    Filed: March 26, 2010
    Publication date: September 30, 2010
    Applicant: Lam Research Corporation
    Inventors: Leonard J. Sharpless, Harmeet Singh, Michael S. Kang
  • Publication number: 20100237046
    Abstract: A dry non-plasma treatment system and method for removing oxide material is described. The treatment system is configured to provide chemical treatment of one or more substrates, wherein each substrate is exposed to a gaseous chemistry under controlled conditions including surface temperature and gas pressure. Furthermore, the treatment system is configured to provide thermal treatment of each substrate, wherein each substrate is thermally treated to remove the chemically treated surfaces on each substrate.
    Type: Application
    Filed: May 2, 2010
    Publication date: September 23, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Martin Kent, Eric J. Strang
  • Patent number: 7789992
    Abstract: A neutral beam etching device for separating and accelerating a plasma is provided. The device includes a first chamber having a first opening formed at one side thereof; a second chamber having a second opening formed at one side thereof and being disposed inside the first chamber to form a plasma generation area; a first channel fluidly communicating the first opening with the plasma generation area; a second channel fluidly communicating the second opening with the plasma generation area; a coil disposed on an outer surface of the first chamber and which generates a magnetic field to generate a plasma in the plasma generation area; and an acceleration part disposed within the first and second chambers and configured to separate the plasma into a positive ion and an electron, accelerate the positive ion and the electron, and discharge the positive ion and electron through the first and the second channels.
    Type: Grant
    Filed: May 1, 2006
    Date of Patent: September 7, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Won-tae Lee
  • Publication number: 20100213171
    Abstract: There are provided a method of heating a focus ring and a plasma etching apparatus, capable of simplifying a structure of a heating mechanism without a dummy substrate. The plasma etching apparatus includes a vacuum processing chamber; a lower electrode serving as a mounting table for mounting a substrate thereon; an upper electrode provided to face the lower electrode; a gas supply unit for supplying a processing gas; a high frequency power supply for supplying a high frequency power to the lower electrode to generate a plasma of the processing gas; and a focus ring provided on the lower electrode to surround a periphery of the substrate. In the plasma etching apparatus, the focus ring is heated by irradiating a heating light thereto from a light source provided outside the vacuum processing chamber.
    Type: Application
    Filed: February 4, 2010
    Publication date: August 26, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Chishio Koshimizu, Jun Yamawaku, Tatsuo Matsudo, Masashi Saito
  • Patent number: 7780791
    Abstract: A plasma processing system for processing a substrate is described. The plasma processing system includes a bottom piece including a chuck configured for holding the substrate. The plasma processing system also includes an induction coil configured to generate an electromagnetic field in order to create a plasma for processing the substrate; and an optimized top piece coupled to the bottom piece, the top piece further configured for a heating and cooling system. Wherein, the heating and cooling system is substantially shielded from the electromagnetic field by the optimized top piece, and the optimized top piece can substantially be handled by a single person.
    Type: Grant
    Filed: June 30, 2004
    Date of Patent: August 24, 2010
    Assignee: Lam Research Corporation
    Inventors: Leonard J. Sharpless, Keith Comendant
  • Publication number: 20100193130
    Abstract: In a plasma processing apparatus including a vacuum chamber, a sample table for mounting a member to be processed thereon, the sample table having a coolant path to control a temperature of the member to be processed, an electrostatic chuck power supply for electrostatically adsorbing the member to be processed on the sample table, and a plurality of gas hole parts provided in the sample table to supply heat transfer gas between the member to be processed and the sample table and thereby control a temperature of the member to be processed, each of the gas hole parts includes a boss formed of a dielectric, a sleeve, and a plurality of small tubes, and the small tubes are arranged in a range of 10 to 50% of a radius when measured from a center of the gas hole part toward outside.
    Type: Application
    Filed: February 26, 2009
    Publication date: August 5, 2010
    Inventors: Masatoshi KAWAKAMI, Tooru ARAMAKI, Shigeru SHIRAYONE, Kenetsu YOKOGAWA, Takumi TANDOU
  • Patent number: 7759598
    Abstract: A method for hydrogen sintering a substrate including a semiconductor device formed thereon comprises the steps of exciting a processing gas comprising a noble gas and a hydrogen gas to form a plasma comprising hydrogen radicals and hydrogen ions, and exposing the substrate to the plasma. A preferred method comprises forming a gate insulation film on a substrate, forming a polysilicon electrode on the gate insulation film, and exposing the polysilicon electrode to an atmosphere comprising hydrogen radicals and hydrogen ions.
    Type: Grant
    Filed: April 25, 2007
    Date of Patent: July 20, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Takuya Sugawara, Seiji Matsuyama, Masaru Sasaki
  • Publication number: 20100175713
    Abstract: A method of cleaning a plasma processing apparatus for processing a target in a process container, which is vacuum-evacuatable, using plasma, includes performing a first cleaning process by supplying a cleaning gas into the process container to generate plasma and maintaining the pressure in the process container at a first pressure, and performing a second cleaning process by supplying a cleaning gas into the process container to generate plasma and maintaining the pressure in the process container at a second pressure that is higher than the first pressure. Accordingly, the plasma processing apparatus can be efficiently and rapidly cleaned without damaging at least one of the group consisting of inner surfaces of the process container and members in the process container.
    Type: Application
    Filed: February 18, 2008
    Publication date: July 15, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Noriaki Fukiage, Shinji Komoto, Hiroyuki Takaba, Kiyotaka Ishibashi
  • Publication number: 20100126964
    Abstract: A plasma source for processing or imaging a substrate, for ion source for proton therapy, for ion thrusters, or for high energy particle accelerators includes a coolant circuit passing adjacent to a plasma ion reactor chamber and RF antenna coils. In a method for operating the plasma ion source having an induction coil adjacent to a reaction chamber for inductively coupling power into the plasma from a radio frequency power source, the method comprises pumping a dielectric fluid into contact with induction coils of the plasma ion source along the coolant circuit. Use of the dielectric fluid both electrically insulates the plasma chamber, so that it can be biased to 30 kV and up, and efficiently transfers heat away from the plasma chamber.
    Type: Application
    Filed: November 20, 2009
    Publication date: May 27, 2010
    Applicant: OREGON PHYSICS, LLC
    Inventors: Noel S. Smith, Noel P. Martin, Paul P. Tesch
  • Patent number: 7718032
    Abstract: A dry non-plasma treatment system and method for removing oxide material is described. The treatment system is configured to provide chemical treatment of one or more substrates, wherein each substrate is exposed to a gaseous chemistry, including HF and optionally NH3, under controlled conditions including surface temperature and gas pressure. Furthermore, the treatment system is configured to provide thermal treatment of each substrate, wherein each substrate is thermally treated to remove the chemically treated surfaces on each substrate.
    Type: Grant
    Filed: June 22, 2006
    Date of Patent: May 18, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Martin Kent, Eric J. Strang
  • Publication number: 20100116790
    Abstract: A device for locally producing microwave plasma. The device comprises at least one microwave feed that is surrounded by at least one dielectric tube. At least one of the dielectric tubes, such as an outer dielectric tube, is partially surrounded by a metal jacket. A locally delimited plasma is produced by the device by shielding microwaves.
    Type: Application
    Filed: October 11, 2007
    Publication date: May 13, 2010
    Applicant: iplas Innovative Plasma Systems GmbH
    Inventor: Ralf Spitzl
  • Patent number: 7704327
    Abstract: A method including removing an impurity from a gas stream to a processing chamber at a point of use. An apparatus with a point of use purifier on a gas stream. An apparatus including a shelf having dimensions suitable for placement within a thermal processing including a body of a material that renders the body opaque to radiation frequency range used for a temperature measurement of a substrate in a thermal processing chamber.
    Type: Grant
    Filed: September 30, 2002
    Date of Patent: April 27, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Ann P. Waldhauer, Juan M. Chacin, Brian H. Burrows
  • Publication number: 20100084094
    Abstract: In an apparatus and method of vapor etching, a sample (S) to be etched is located in a main chamber 107 from which the atmosphere inside is evacuated. Etching gas is input into the main chamber 107 for a first period of time. Thereafter, the etching gas is evacuated from the main chamber 107 and cooling/purging gas is input into the main chamber for a second interval of time. Thereafter, the cooling/purging gas is evacuated from the main chamber 107. Desirably, the steps of inputting the etching gas into the main chamber 107 for the first period of time, evacuating the etching gas from the main chamber, inputting the cooling/purging gas into the main chamber 107 for the second period of time, and evacuating the cooling/purging gas from the main chamber are repeated until samples have been etched to a desired extent.
    Type: Application
    Filed: December 7, 2009
    Publication date: April 8, 2010
    Applicant: XACTIX, INC.
    Inventors: Kyle S. Lebouitz, David L. Springer
  • Patent number: 7677199
    Abstract: A surface treatment system is disclosed to form a deposition layer at a surface of an object of surface treatment by using a deposition reaction in which an electrode (110) for applying power to form a deposition reaction in the deposition chamber (100) is installed between an inner wall (120) of the deposition chamber (100) and an object of surface treatment (900) and further includes a cooling unit (200) installed at the inner wall (120) of the deposition chamber (100) facing the electrode (110) and cooling ambient thereof.
    Type: Grant
    Filed: December 30, 2002
    Date of Patent: March 16, 2010
    Assignee: LG Electronics Inc.
    Inventors: Cheon-Soo Cho, Dong-Sik Youn, Hyun-Wook Lee, Samchul Ha
  • Patent number: 7674351
    Abstract: A vacuum processing apparatus that includes an inner wall member disposed inside of an outer side wall member of a vacuum container, the inner wall member surrounding a side of a sample stand on which a sample to be processed is placed and facing to a plasma generated in a chamber inside of the inner wall member. The apparatus also includes an upper member arranged in the vacuum chamber above a flange portion of the inner wall member, contacting with an upper surface of the flange portion and transmitting a force pressing downwardly in a state where the inside of the vacuum container is reduced in pressure. The inner wall member is thermally connected with a temperature adjusting device which controls a temperature of the inner wall member through the upper surface of the flange portion and the upper member.
    Type: Grant
    Filed: August 31, 2004
    Date of Patent: March 9, 2010
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Akitaka Makino, Hideki Kihara, Susumu Tauchi
  • Publication number: 20100055822
    Abstract: Embodiments of the invention contemplate the formation of a high efficiency solar cell using novel methods to form the active doped region(s) and the metal contact structure of the solar cell device. In one embodiment, the methods include the steps of depositing a dielectric material that is used to define the boundaries of the active regions and/or contact structure of a solar cell device. Various techniques may be used to form the active regions of the solar cell and the metal contact structure.
    Type: Application
    Filed: August 27, 2009
    Publication date: March 4, 2010
    Inventors: Timothy W. Weidman, Rohit Mishra, Michael P. Stewart, Kapila P. Wijekoon, Yonghwa Chris Cha, Tristan Holtam, Vinay Shah
  • Publication number: 20100044343
    Abstract: A substrate treatment apparatus for treating a substrate on which a plurality of patterns are formed adjacently, has a first chamber which has resistance to a chemical and cleans the substrate with the chemical; a second chamber which is disposed above or below the first chamber, has higher pressure resistance than the first chamber, and supercritically dries the substrate; and a gate unit which is provided between the first and second chambers and can be opened/closed.
    Type: Application
    Filed: August 21, 2009
    Publication date: February 25, 2010
    Inventors: Hiroshi Tomita, Linan Ji, Hisashi Okuchi, Tatsuhiko Koide, Hiroyasu Iimori, Hidekazu Hayashi
  • Patent number: 7661386
    Abstract: A film-forming apparatus of the invention is a film-forming apparatus that includes: a processing container that defines a chamber, a pedestal arranged in the chamber, on which a substrate to be processed can be placed, a showerhead provided opposite to the pedestal, which has a large number of gas-discharging holes, a gas-supplying mechanism that supplies a process gas into the chamber through the showerhead, and a showerhead-temperature controlling unit that controls a temperature of the showerhead.
    Type: Grant
    Filed: February 8, 2002
    Date of Patent: February 16, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Shigeru Kasai, Takashi Kakegawa
  • Publication number: 20100012621
    Abstract: A method of treating copper sulfide deposits on materials and surfaces that are in contact with electrically insulating oil inside an electrical apparatus. A substantial amount of the electrically insulating oil, normally present in the electrical apparatus, has been removed. The copper sulfide is subjected to treatment with an oxidizing agent which causes a reaction with the copper sulfide deposits. The oxidizing agent can include any compound from the list; chlordioxide, a peroxy acid or ozone.
    Type: Application
    Filed: August 13, 2007
    Publication date: January 21, 2010
    Applicant: ABB RESEARCH LTD.
    Inventors: Karin Gustafsson, Robert Leandersson
  • Publication number: 20100012274
    Abstract: A focus ring is placed on a substrate mounting table for mounting a target substrate thereon to surround the target substrate. The focus ring converges plasma on the target substrate when the target substrate is subjected to plasma processing. The focus ring is configured to create a temperature difference in its radial direction and over its full circumference during the plasma-processing of the target substrate. The focus ring also includes a radial outer region as a higher temperature region and a radial inner region as a lower temperature region. A groove is formed between the radial outer region and the radial inner region to extend over the full circumference of the focus ring.
    Type: Application
    Filed: July 16, 2009
    Publication date: January 21, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Masaaki Miyagawa, Katsuhiko Ono, Chishio Koshimizu, Kazuki Denpoh, Tatsuo Matsudo, Yasuhiro Hamada
  • Publication number: 20100006539
    Abstract: A semiconductor device manufacturing apparatus includes a chamber including a reaction space, a substrate disposing unit configured to dispose a substrate within the chamber, a first heating unit configured to optically heat the reaction space and disposed under the chamber, a second heating unit configured to heat the reaction space through resistive heating and disposed over the chamber, and a plasma generating unit configured to generate plasma in the reaction space. Since the apparatus generates the plasma using the plasma generating unit disposed over the chamber, the deposition process based on heating and the etch process based on the plasma can be simultaneously performed in one single chamber.
    Type: Application
    Filed: October 27, 2008
    Publication date: January 14, 2010
    Applicant: JUSUNG ENGINEERING CO., LTD
    Inventors: Cheol Hoon YANG, Kyu Jin CHOI, Yong Han JEON, Euy Kyu LEE, Tae Wan LEE
  • Publication number: 20090325386
    Abstract: A processing system is disclosed for conducting various processes on substrates, such as semiconductor wafers by varying the exposure to a chemical ambient. The processing system includes a processing region having an inlet and an outlet for flowing fluids through the chamber. The outlet is in communication with a conductance valve that is positioned in between the processing region outlet and a vacuum exhaust channel. The conductance valve rapidly oscillates or rotates between open and closed positions for controlling conductance through the processing region. This feature is coupled with the ability to rapidly pulse chemical species through the processing region while simultaneously controlling the pressure in the processing region. Of particular advantage, the conductance valve is capable of transitioning the processing region through pressure transitions of as great as 100:1 while chemical species are flowed through the processing region using equally fast control valves in a synchronous pulsed fashion.
    Type: Application
    Filed: May 28, 2009
    Publication date: December 31, 2009
    Applicant: MATTSON TECHNOLOGY, INC.
    Inventors: Daniel J. Devine, Rudy Santo Tomas Cardema, Shuen Chun Choy, Carl J. Galewski, Yao Zhi Hu, Bruce W. Peuse, Hung Thanh Phan
  • Publication number: 20090242129
    Abstract: A heat treatment apparatus for heat-treating a silicon substrate includes a mounting table for mounting and heating the silicon substrate thereon, wherein a cover made of any of silicon, silicon carbide, and aluminum nitride is placed on an upper surface of the mounting table. By covering the upper surface of the mounting table by the cover made of silicon or the like, metal contamination of the lower surface of the silicon substrate is suppressed.
    Type: Application
    Filed: March 24, 2009
    Publication date: October 1, 2009
    Applicant: TOKYO ELECTRON, LIMITED
    Inventors: Tadashi Onishi, Shigeki Tozawa, Yusuke Muraki, Takafumi Nitoh
  • Patent number: 7592261
    Abstract: When the state of the vacuum processing chamber is switched to an idle state in which an insulating fluid is circulated while a semiconductor wafer W is not placed in the vacuum processing chamber and no plasma is generated in the vacuum processing chamber, nitrogen gas purging (N2 purging) of the inside of the vacuum processing chamber is started, and the pressure in the vacuum processing chamber is controlled to a predetermined level, for example, about 27 Pa (200 mTorr). This makes it possible to prevent a component in the vacuum processing chamber of a plasma processor from being charged to high voltage, so that an insulative material can be protected against breakdown caused by electric discharge or the like.
    Type: Grant
    Filed: March 27, 2003
    Date of Patent: September 22, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Takehiro Ueda, Katsuyuki Koizumi, Kouki Suzuki
  • Publication number: 20090226633
    Abstract: A chemical oxide removal (COR) processing system is presented, wherein the COR processing system includes a first treatment chamber and a second treatment chamber. The first treatment chamber comprises a chemical treatment chamber that provides a temperature controlled chamber having a protective barrier. The second treatment chamber comprises a heat treatment chamber that provides a temperature-controlled chamber having a protective barrier.
    Type: Application
    Filed: May 21, 2009
    Publication date: September 10, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Arthur H. LaFlamme, JR., Thomas Hamelin, Jay Wallace
  • Publication number: 20090223932
    Abstract: An electrode unit is disposed in a substrate processing apparatus including a processing chamber for processing a substrate by plasma. The electrode unit includes an electrode layer having a surface exposed to inside of the processing chamber and an opposing surface disposed at the opposite side of the exposed surface, a heating layer and a cooling layer that the electrode layer, the heating layer and the cooling layer are disposed in said order from the processing chamber. The heating layer covers the opposing surface of the electrode layer while the cooling layer covers the opposing surface of the electrode layer via the heating layer, and a heat transfer layer filled up with a heat transfer medium is interposed between the heating layer and the cooling layer.
    Type: Application
    Filed: March 4, 2009
    Publication date: September 10, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Tsuyoshi Hida, Jun Oyabu
  • Publication number: 20090212018
    Abstract: An apparatus and the use of such an apparatus and method for producing microcomponents with component structures are presented which are generated in a process chamber on a substrate according to the LIGA method for example and are stripped from the enclosing photoresist with the help of a cooled remote plasma source.
    Type: Application
    Filed: December 8, 2008
    Publication date: August 27, 2009
    Inventor: Josef MATHUNI