With Workpiece Support Patents (Class 156/345.51)
  • Publication number: 20140202631
    Abstract: A heat transfer sheet affixing method where a focus ring is pressed by a pressing part to a heat transfer sheet placed on a heat transfer sheet mounting part of a plasma processing apparatus to affix the heat transfer sheet to the focus ring. The method includes reducing a pressure to place the heat transfer sheet in a reduced-pressure atmosphere, heating the heat transfer sheet, and pressing the focus ring by the pressing part to the heat transfer sheet. The reducing, the heating, and the pressing are performed concurrently at least for a predetermined period of time.
    Type: Application
    Filed: January 21, 2014
    Publication date: July 24, 2014
    Applicant: Tokyo Electron Limited
    Inventors: Keita KANBARA, Naoyuki Satoh, Takuya Ishikawa
  • Publication number: 20140202635
    Abstract: A mounting table includes an electrostatic chuck having a mounting surface and a backside opposite to the mounting surface, a first through hole being formed in the mounting table; a base joined to the backside of the electrostatic chuck and having a second through hole in communication with the first through hole; a lifter pin which is received in a pin hole formed by the first through hole and the second through hole, the lifter pin being movable up and down to protrude beyond and retract below the mounting surface. An upper end portion of the lifter pin has a shape in which a diameter decreases toward a lower end of the lifter pin to correspond to a shape of the upper end portion of the pin hole. The upper end portion of the lifter pin is in surface contact with the upper end portion of the pin hole.
    Type: Application
    Filed: January 22, 2014
    Publication date: July 24, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Shin YAMAGUCHI, Daisuke HAYASHI, Yasuhisa KUDO
  • Publication number: 20140202634
    Abstract: Radial transmission line based plasma sources for etch chambers are described. In an example, a radial transmission line based plasma source includes a gas delivery channel having a first end coupled to a gas inlet and having a second end coupled to a plasma showerhead. A folded or co-axial stub surrounds at least a portion of the gas delivery channel. An RF input is coupled to the folded or co-axial stub.
    Type: Application
    Filed: January 7, 2014
    Publication date: July 24, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Kartik Ramaswamy, Steven Lane, Yang Yang
  • Patent number: 8785809
    Abstract: A processing apparatus for performing a specified process on a target object at a predetermined process pressure, the apparatus having an evacuable processing chamber having a gas exhaust port formed in a bottom portion thereof, a mounting table provided within the processing chamber for holding the target object, a pressure control valve connected to the gas exhaust port, the pressure control valve including a slide-type valve body for changing an area of an opening region of a valve port, and a gas exhaust system connected to the pressure control valve. The pressure control valve is arranged such that a center axis of the mounting table lies within an opening region of the pressure control valve formed over a practical use region of a valve opening degree of the pressure control valve.
    Type: Grant
    Filed: April 23, 2012
    Date of Patent: July 22, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Toshihisa Nozawa, Tamaki Yuasa
  • Patent number: 8778132
    Abstract: A fixture for etching PCD drill inserts is provided. The fixture design allows the fixture to be injection molded, significantly reducing costs and allowing the fixture to be disposed of after a single use. The fixture allows for faster use and more accurate etching of the PCD insert.
    Type: Grant
    Filed: February 18, 2011
    Date of Patent: July 15, 2014
    Assignee: Stingray Group, LLC
    Inventor: Allen Turner
  • Publication number: 20140190636
    Abstract: A substrate processing apparatus includes a processing chamber for processing a substrate, a shield at an inner wall of the processing chamber, and a connector attaching the shield to the inner wall of the processing chamber. The connector includes a groove and a protrusion respectively on opposing surfaces of the inner wall of the processing chamber and the shield.
    Type: Application
    Filed: July 15, 2013
    Publication date: July 10, 2014
    Applicant: SAMSUNG DISPLAY CO., LTD
    Inventor: Dong-Seok Shin
  • Patent number: 8771423
    Abstract: Embodiments of a cover ring for use in a plasma processing chamber are provided. In one embodiment, a cover ring for use in a plasma processing chamber includes a ring-shaped body fabricated from a yttrium (Y) containing material. The body includes a bottom surface having an inner locating ring and an outer locating ring. The inner locating ring extends further from the body than the outer locating ring. The body includes an inner diameter wall having a main wall and a secondary wall separated by a substantially horizontal land. The body also includes a top surface having an outer sloped top surface meeting an inner sloped surface at an apex. The inner sloped surface defines an angle with a line perpendicular to a centerline of the body less than about 70 degrees.
    Type: Grant
    Filed: October 11, 2012
    Date of Patent: July 8, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Changhun Lee, Michael D. Willwerth, Hoan Nguyen
  • Patent number: 8773020
    Abstract: Apparatus for forming a magnetic field and methods of use thereof are provided herein. In some embodiments, a plurality of coils having substantially similar dimensions disposed about a process chamber in a symmetric pattern centered about a central axis of the process chamber, wherein the plurality of coils are configured to produce a magnetic field having a plurality of magnetic field lines that are substantially planar and substantially parallel. In some embodiments, the plurality of coils comprises eight coils disposed about the process chamber, wherein each of the eight coils is offset by an angle of about 45 degrees from respective adjacent coils of the eight coils.
    Type: Grant
    Filed: April 29, 2011
    Date of Patent: July 8, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Gary Leray, Shahid Rauf, Valentin N. Todorow
  • Patent number: 8771417
    Abstract: A substrate processing apparatus includes a chamber having an inner space where a process is carried out with respect to a substrate and an exhaust unit for exhausting substance in the inner space to the outside. The exhaust unit includes a first exhaust plate located at an upstream of an exhaust path of the substance, the first exhaust plate having first exhaust holes, and a second exhaust plate located at a downstream of the exhaust path, the first exhaust plate having second exhaust holes. The first exhaust plate is disposed outside a support member, and the second exhaust plate is disposed below the first exhaust plate generally in parallel to the first exhaust plate. The exhaust unit further includes first covers for selectively opening and closing the first exhaust holes and second covers for selectively opening and closing the second exhaust holes.
    Type: Grant
    Filed: September 4, 2008
    Date of Patent: July 8, 2014
    Assignee: Eugene Technology Co., Ltd.
    Inventors: Song Keun Yoon, Byoung Gyu Song, Jae Ho Lee, Kyong Hun Kim
  • Patent number: 8771420
    Abstract: A substrate processing apparatus that forms thin films on a plurality of substrates and thermally processes the substrates, by uniformly heating the substrates. The substrate processing apparatus includes a processing chamber, a boat in which substrates are stacked, an external heater located outside of the processing chamber, a feeder to move the boat into and out of the processing chamber, a lower heater located below the feeder, and a central heater located in the center of the boat.
    Type: Grant
    Filed: February 26, 2010
    Date of Patent: July 8, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Heung-Yeol Na, Ki-Yong Lee, Jin-Wook Seo, Min-Jae Jeong, Jong-Won Hong, Eu-Gene Kang, Seok-Rak Chang, Yun-Mo Chung, Tae-Hoon Yang, Byung-Soo So, Byoung-Keon Park, Dong-Hyun Lee, Kil-Won Lee, Jong-Ryuk Park, Bo-Kyung Choi, Ivan Maidanchuk, Won-Bong Baek, Jae-Wan Jung
  • Publication number: 20140158154
    Abstract: A method of modifying an electrostatic chuck that electrostatically attracts a processing object is provided. The method includes a gas supplying step of supplying a gas containing hydrogen (H) and oxygen (O) into a chamber accommodating the electrostatic chuck having a surface that is fluorinated; and a modifying step of turning the gas supplied to the chamber into plasma using a high frequency power, exposing the electrostatic chuck to the plasma, and modifying the fluorinated surface of the electrostatic chuck.
    Type: Application
    Filed: December 9, 2013
    Publication date: June 12, 2014
    Applicant: Tokyo Electron Limited
    Inventors: Takamitsu KONDO, Shingo Shimogama
  • Patent number: 8747559
    Abstract: A substrate support useful for a plasma processing apparatus includes a metallic heat transfer member and an overlying electrostatic chuck having a substrate support surface. The heat transfer member includes one or more passage through which a liquid is circulated to heat and/or cool the heat transfer member. The heat transfer member has a low thermal mass and can be rapidly heated and/or cooled to a desired temperature by the liquid, so as to rapidly change the substrate temperature during plasma processing.
    Type: Grant
    Filed: June 24, 2011
    Date of Patent: June 10, 2014
    Assignee: Lam Research Corporation
    Inventor: Robert J. Steger
  • Patent number: 8747560
    Abstract: A pedestal positioning assembly system for use in a substrate processing system includes a pedestal rigidly attached to a pedestal shaft, a reference rigidly attached to the substrate processing system, a lateral adjustment assembly to adjust a lateral location of the pedestal relative to the reference, and a vertical adjustment assembly to adjust a tilt of the pedestal relative to the reference. The lateral adjustment assembly and the vertical adjustment assembly are external to a processing chamber and are coupled to the pedestal disposed within the processing chamber through the pedestal shaft. The reference can be a ring and the lateral adjustment assembly substantially centers the pedestal within the ring. A method of adjusting a pedestal includes leveling the pedestal, translating the pedestal, calibrating the pedestal height to a preheat ring level, and checking the level and location of the pedestal while rotating the pedestal.
    Type: Grant
    Filed: February 13, 2013
    Date of Patent: June 10, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Richard Collins, Kailash Kiran Patalay, Jean Vatus, Zhepeng Cong
  • Patent number: 8748780
    Abstract: A disclosed substrate processing apparatus comprises a heat exchange plate configured to heat and/or cool the substrate; plural protrusions provided on the heat exchange plate so as to allow the substrate to be placed on the plural protrusions, leaving a gap between the substrate and the heat exchange plate; a suction portion configured to attract the substrate onto the plural protrusion by suction through plural holes formed in the heat exchange plate; and a partition member that is provided on the heat exchange plate and lower than the plural protrusions, wherein the partition member is configured to divide the gap into two or more regions including at least one of the holes so that at least one of the two or more regions is two-dimensionally closed by the partition member.
    Type: Grant
    Filed: January 16, 2008
    Date of Patent: June 10, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Shouken Moro, Yasuhiro Takaki, Masatoshi Kaneda
  • Publication number: 20140154832
    Abstract: A dry etching apparatus includes a tray for conveying substrates. The tray has substrate housing holes as through holes each capable of housing the three substrates. The substrates are supported by a substrate support section protruding from a hole wall of each of the substrate housing holes. A stage is provided in a chamber in which plasma is generated. The stage includes substrate installation sections to be inserted from a lower surface side of the tray to the substrate housing holes so that lower surfaces of the plurality of the substrates transferred from the substrate support section are installed on substrate installation surfaces that are their upper end surfaces. High shape controllability and favorable productivity for the angular substrate can be implemented while preventing increased in size of the apparatus.
    Type: Application
    Filed: June 29, 2012
    Publication date: June 5, 2014
    Inventors: Shogo Okita, Syouzou Watanabe
  • Publication number: 20140151332
    Abstract: An apparatus for treating a substrate may include a process chamber. The process chamber may include a reaction space and an opening portion for receiving the substrate into the reaction space. The apparatus may further include a dielectric layer. The apparatus may further include a plurality of support elements disposed on the dielectric layer and configured to contact a bottom surface of the substrate for supporting the substrate. The plurality of support elements may include a first support element and a second support element immediately neighboring the first support element.
    Type: Application
    Filed: July 1, 2013
    Publication date: June 5, 2014
    Inventor: Takayuki FUKASAWA
  • Patent number: 8741065
    Abstract: A substrate processing apparatus includes a substrate stage for mounting two or more substrates thereon. The substrate stage includes substrate stage units. Each of the substrate stage units includes a central temperature control flow path for controlling the temperature of a central portion of each of the substrates and a peripheral temperature control flow path for controlling the temperature of a peripheral portion of each of the substrates. The central temperature control flow path and the peripheral temperature control flow path are formed independently of each other. The substrate stage includes one temperature control medium inlet port for introducing therethrough a temperature control medium into the peripheral temperature control flow path and temperature control medium outlet ports for discharging therethrough the temperature control medium from the peripheral temperature control flow path. The number of the temperature control medium outlet ports corresponds to the number of substrates.
    Type: Grant
    Filed: June 29, 2011
    Date of Patent: June 3, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Masaya Odagiri, Yusuke Muraki, Jin Fujihara
  • Patent number: 8741098
    Abstract: Disclosed herein is a table 2 for use in a plasma processing system 1 that includes an electrically conductive member serving as a lower electrode 21 for plasma formation, a lower dielectric layer 22 (first dielectric layer) formed on the electrically conductive member so that it covers the center of the upper surface of the electrically conductive member, serving to make a high-frequency electric field to be applied to plasma via a substrate uniform, and an upper dielectric layer 24 (second dielectric layer) having a relative dielectric constant of 100 or more, formed on the electrically conductive member so that it is in contact at least with the edge of the substrate, in order to prevent a high-frequency current that has propagated along the electrically conductive member face from leaking to the outside of the substrate (wafer W).
    Type: Grant
    Filed: August 10, 2007
    Date of Patent: June 3, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Akira Koshiishi, Shinji Himori, Shoichiro Matsuyama
  • Publication number: 20140148009
    Abstract: During formation of a charge trap separation in a semiconductor device, an organic material is formed over a plurality of cells. This organic material is selectively removed in order to create a flat upper surface. An etching process is performed to remove the organic material as well as a charge trap layer formed over the plurality of cells, thereby exposing underlying first oxide layers in each of the cells and forming charge trap separation. Further, because of the selective removal step, the etch results in substantially uniform wing heights among the separated cells.
    Type: Application
    Filed: November 26, 2012
    Publication date: May 29, 2014
    Applicant: Spansion LLC
    Inventors: Angela Tai Hui, David Matsumoto, Tung-sheng Chen
  • Publication number: 20140144585
    Abstract: Methods of and apparatuses for dicing semiconductor wafers, each wafer having a plurality of integrated circuits, are described. A method includes forming a mask above the semiconductor wafer, the mask composed of a layer covering and protecting the integrated circuits. The semiconductor wafer is supported by a substrate carrier. The mask is then patterned with a laser scribing process to provide a patterned mask with gaps, exposing regions of the semiconductor wafer between the integrated circuits. The semiconductor wafer is then etched through the gaps in the patterned mask to singulate the integrated circuits while supported by the substrate carrier.
    Type: Application
    Filed: January 28, 2014
    Publication date: May 29, 2014
    Inventors: Saravjeet Singh, Brad Eaton, Ajay Kumar, Wei-Sheng Lei, James M. Holden, Madhava Rao Yalamanchili, Todd J. Egan
  • Patent number: 8735765
    Abstract: A plasma processing chamber includes a cantilever assembly and at least one vacuum isolation member configured to neutralize atmospheric load. The chamber includes a wall surrounding an interior region and having an opening formed therein. A cantilever assembly includes a substrate support for supporting a substrate within the chamber. The cantilever assembly extends through the opening such that a portion is located outside the chamber. The chamber includes an actuation mechanism operative to move the cantilever assembly relative to the wall.
    Type: Grant
    Filed: August 28, 2013
    Date of Patent: May 27, 2014
    Assignee: Lam Research Corporation
    Inventors: James E. Tappan, Scott Jeffery Stevenot
  • Patent number: 8721833
    Abstract: A replaceable chamber element for use in a plasma processing system, such as a plasma etching system, is described. The replaceable chamber element includes a chamber component configured to be exposed to plasma in a plasma processing system, wherein the chamber component is fabricated of a ferroelectric material.
    Type: Grant
    Filed: February 5, 2012
    Date of Patent: May 13, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Zhiying Chen, Jianping Zhao, Lee Chen, Merritt Funk, Radha Sundararajan
  • Publication number: 20140116623
    Abstract: Disclosed is an etching treatment apparatus. The etching treatment apparatus includes a crucible to receive a wafer, a wafer jig part having a groove into which the wafer is seated, and a size adjusting part inserted into the groove while making contact with the edge of the wafer.
    Type: Application
    Filed: May 30, 2012
    Publication date: May 1, 2014
    Applicant: LG INNOTEK CO., LTD.
    Inventor: Ji Hye Kim
  • Publication number: 20140120732
    Abstract: Provided are a plasma processing method and a plasma processing apparatus which may form a protective film on the surface of an etching stop layer and suppress clogging of openings of holes when etching an oxide layer are provided. The plasma processing method forms a plurality of holes having different depths in multi-layered films that include an oxide layer, a plurality of etching stop layers made of tungsten, and a mask layer. The plasma processing method includes an etching process in which a processing gas is supplied to generate plasma such that etching is performed from the top surface of the oxide layer to the plurality of etching stop layers so as to form hole having different depths in the oxide layer. Here, the processing gas includes a fluorocarbon-based gas, a rare gas, oxygen, and nitrogen.
    Type: Application
    Filed: October 28, 2013
    Publication date: May 1, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Hiroie MATSUMOTO, Kazuto OGAWA
  • Publication number: 20140116622
    Abstract: Provided is a substrate processing apparatus using plasma. The apparatus includes a chamber having a processing space therein, a substrate supporting assembly located in the chamber and including an electrostatic chuck supporting a substrate, a gas supplying unit supplying gases into the chamber, and a power source applying power for generating plasma from the gases supplied into the chamber. The electrostatic chuck includes a dielectric plate including an electrode adsorbing the substrate by using an electrostatic force, a body located below the dielectric plate and including a metallic plate to which a high frequency power source is connected, and a bonding unit located between the dielectric plate and the body and fastening the dielectric plate and the body. The bonding unit is formed as a multilayer structure.
    Type: Application
    Filed: October 25, 2013
    Publication date: May 1, 2014
    Inventor: Won Haeng Lee
  • Patent number: 8709162
    Abstract: A substrate support assembly and method for controlling the temperature of a substrate within a process chamber with a temperature uniformity of +/?5° C. are provided. A substrate support assembly includes a thermally conductive body comprising an aluminum material, a substrate support surface on the surface of the thermally conductive body and adapted to support the large area glass substrate thereon, one or more heating elements embedded within the thermally conductive body, and one or more cooling channels embedded within the thermally conductive body and positioned around the one or more heating elements. A process chamber comprising the substrate support assembly of the invention is also provided.
    Type: Grant
    Filed: August 16, 2005
    Date of Patent: April 29, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Samuel Leung, Su Ho Cho, William Allan Bagley
  • Patent number: 8710401
    Abstract: A laser processing machine includes a cavity, a laser system, at least one processing platform, and at least one upper motion platform. The laser system is disposed at a lower part inside the cavity, and used for outputting a laser beam. A traveling direction of the laser beam is opposite to the gravity direction. The processing platform is disposed at an upper part inside the cavity, and includes an adsorption surface and a connection surface facing each other. The adsorption surface is located below the connection surface, and is used for adsorbing a workpiece. The upper motion platform is disposed at the upper part inside the cavity, and is correspondingly connected to the connection surface of the processing platform, in order to cause the processing platform to move.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: April 29, 2014
    Inventor: Chun-Hao Li
  • Patent number: 8702867
    Abstract: A gas distribution plate that is installed in a chamber providing a reaction space and supplies a reaction gas onto a substrate placed on a substrate placing plate, wherein the gas distribution plate includes: first and second surfaces opposing to each other, wherein the second surface faces the substrate placing plate and has a recess shape; and a plurality of injection holes each including: an inflow portion that extends from the first surface toward the second surface; a diffusing portion that extends from the second surface toward the first surface; and an orifice portion between the inflow portion and the diffusing portion, wherein the plurality of inflow portions of the plurality of injection holes decrease in gas path from edge to middle of the gas distribution plate, and wherein the plurality of diffusing portions of the plurality of injection holes have substantially the same gas path.
    Type: Grant
    Filed: July 5, 2009
    Date of Patent: April 22, 2014
    Assignee: Jusung Engineering Co., Ltd.
    Inventors: Jae-Wook Choi, Chan-Ho Park
  • Patent number: 8702903
    Abstract: A thermally conductive sheet is used between a mounting table for mounting thereon a target substrate and an annular focus ring mounted on the mounting table to surround a circumferential peripheral portion of the target substrate. Further, the mounting table includes therein a cooling unit and is disposed in a depressurized accommodating chamber for accommodating therein the target substrate. The thermally conductive sheet has a non-adhesive layer on each of one or more surfaces thereof.
    Type: Grant
    Filed: March 26, 2008
    Date of Patent: April 22, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Masaaki Miyagawa, Akihiro Yoshimura
  • Publication number: 20140106571
    Abstract: A plasma processing apparatus includes a process chamber housing defining a process chamber, a platen positioned in the process chamber for supporting a workpiece, a source configured to generate plasma in the process chamber, and a biasing system. The biasing system is configured to bias the platen to attract ions from the plasma towards the workpiece during a first processing time interval and configured to bias the platen to repel ions from the platen towards interior surfaces of the process chamber housing during a cleaning time interval. The cleaning time interval is separate from the first processing time interval and occurring after the first processing time interval.
    Type: Application
    Filed: October 11, 2012
    Publication date: April 17, 2014
    Applicant: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Bon-Woong Koo, Richard M. White
  • Patent number: 8696816
    Abstract: The present invention relates to a semiconductor manufacturing apparatus, and an object of the invention is to prevent breakage and deformation of semiconductor substrates without deteriorating operability in processing. In order to achieve the object, a semiconductor manufacturing apparatus has a stage (100) on which a semiconductor substrate (30) is placed, and the stage (100) has a first metal portion (10) that is made of metal and that comes in contact with the semiconductor substrate (30) placed thereon, and an electroconductive elastic-body portion (20) that is made of an electroconductive elastic body and that comes in contact with the semiconductor substrate (30) placed thereon. A contaminant (40) is embedded in the electroconductive elastic-body portion (20).
    Type: Grant
    Filed: January 11, 2005
    Date of Patent: April 15, 2014
    Assignee: Mitsubishi Electric Corporation
    Inventors: Norifumi Tokuda, Yuuko Okada
  • Publication number: 20140096908
    Abstract: A processing chamber including multiple plasma sources in a process chamber top. Each one of the plasma sources is a ring plasma source including a primary winding and multiple ferrites. A plasma processing system is also described. A method of plasma processing is also described.
    Type: Application
    Filed: October 10, 2012
    Publication date: April 10, 2014
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Ali Shajii, Richard Gottscho, Souheil Benzerrouk, Andrew Cowe, Siddharth P. Nagarkatti, William R. Entley
  • Patent number: 8687343
    Abstract: A substrate mounting table of a substrate processing apparatus includes a base portion and a circular plate-shaped electrostatic chuck adhered to an upper surface of the base portion by an adhesive layer. The electrostatic chuck has a circular attracting surface to support a substrate. The substrate mounting table further includes an annular focus ring arranged around the electrostatic chuck to surround the substrate and to cover an outer peripheral portion of the upper surface of the base portion. The electrostatic chuck has a two-layer structure including an upper circular part and a lower circular part having a diameter larger than that of the upper circular part. An outer peripheral portion of the lower circular part and an outer peripheral portion of the adhesive layer adhering the lower circular part to the base portion are covered with the focus ring.
    Type: Grant
    Filed: November 16, 2010
    Date of Patent: April 1, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Tetsuji Sato, Takashi Kitazawa, Akihiro Yoshimura
  • Publication number: 20140087564
    Abstract: Provided is a plasma processing apparatus, which includes a table unit installed within a processing vessel and configured to place a substrate thereon, a purge gas supply unit configured to supply a process gas into the processing vessel, a plasma generating unit configured to turn the process gas to plasma, a magnetic field forming mechanism installed at a lateral side of the table unit and configured to form magnetic fields in a processing atmosphere in order to move electrons existing in the plasma of the process gas along a surface of the substrate; and an exhaust mechanism configured to exhaust gas from the interior of the processing vessel. The magnetic fields are opened at at-least one point in a peripheral edge portion of the substrate such that a loop of magnetic flux lines surrounding the peripheral edge portion of the substrate is not formed.
    Type: Application
    Filed: September 19, 2013
    Publication date: March 27, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Akira SHIMIZU, Yu WAMURA
  • Publication number: 20140083977
    Abstract: In one embodiment, a plasma processing apparatus includes: a chamber; an introducing part; a counter electrode; a high-frequency power source; and a plurality of low-frequency power sources. A substrate electrode is disposed in the chamber, a substrate is directly or indirectly placed on the substrate electrode, and the substrate electrode has a plurality of electrode element groups. The introducing part introduces process gas into the chamber. The high-frequency power source outputs a high-frequency voltage for ionizing the process gas to generate plasma. The plurality of low-frequency power sources apply a plurality of low-frequency voltages of 20 MHz or less with mutually different phases for introducing ions from the plasma, to each of the plurality of electrode element groups.
    Type: Application
    Filed: September 25, 2013
    Publication date: March 27, 2014
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Akio UI, Hisataka Hayashi, Kazuhiro Tomioka, Hiroshi Yamamoto, Tsubasa Imamura
  • Patent number: 8679254
    Abstract: [Problem] Provided is a vapor phase epitaxy apparatus of a group III nitride semiconductor including: a susceptor for holding a substrate; the opposite face of the susceptor; a heater for heating the substrate; a reactor formed of a gap between the susceptor and the opposite face of the susceptor; a raw material gas-introducing portion for supplying a raw material gases from the central portion of the reactor toward the peripheral portion of the reactor; and a reacted gas-discharging portion. Even when crystal growth is conducted on the surfaces of a large number of large-aperture substrates, the vapor phase epitaxy apparatus can eject each raw material gas at an equal flow rate for any angle, and can suppress the decomposition and crystallization of the raw material gases on the opposite face of the susceptor.
    Type: Grant
    Filed: January 26, 2011
    Date of Patent: March 25, 2014
    Assignee: Japan Pionics Co., Ltd.
    Inventors: Kenji Iso, Yoshiyasu Ishihama, Ryohei Takaki, Yuzuru Takahashi
  • Patent number: 8671882
    Abstract: A plasma processing apparatus capable of, over a prolonged period of time, controlling a decrease in the value of a DC current flowing within an accommodating compartment. The plasma processing apparatus comprises an accommodating compartment adapted to accommodate a substrate and perform a plasma treatment thereon, a high-frequency power source adapted to supply high-frequency power to the inside of the accommodating compartment; a DC electrode adapted to apply a DC voltage to the inside of the accommodating compartment, a ground electrode provided within the accommodating compartment and used for the applied DC voltage, and an exhaust unit adapted to evacuate the inside of the accommodating compartment.
    Type: Grant
    Filed: March 24, 2008
    Date of Patent: March 18, 2014
    Assignee: Tokyo Electron Limited
    Inventor: Masanobu Honda
  • Patent number: 8673166
    Abstract: In a plasma processing apparatus, thrust-up pins are elevated and a thrust-up force is detected when electrostatic attraction for a substrate by a substrate holding device is ceased after completion of plasma processing, the elevation of the thrust-up pins is ceased upon detection of a detection threshold, and a stepped elevating operation in which the elevation and stoppage of the thrust-up pins are repeated a plurality of times are thereafter commenced on condition that the detected thrust-up force falls to or below the detection threshold and that release of the substrate from a placement surface has not been completed. In the stepped elevating operation, operation timing of the thrust-up device is controlled so that the completion of the release of the substrate from the placement surface is detected when the thrust-up pins are stopped after being elevated and so that the stepped elevating operation is continued on condition that the release has not been completed.
    Type: Grant
    Filed: May 28, 2009
    Date of Patent: March 18, 2014
    Assignee: Panasonic Corporation
    Inventors: Shogo Okita, Hiromi Asakura, Syouzou Watanabe, Toshihiro Wada, Mitsuhiro Okune, Mitsuru Hiroshima
  • Patent number: 8673081
    Abstract: An epitaxial reactor enabling simultaneous deposition of thin films on a multiplicity of wafers is disclosed. During deposition, a number of wafers are contained within a wafer sleeve comprising a number of wafer carrier plates spaced closely apart to minimize the process volume. Process gases flow preferentially into the interior volume of the wafer sleeve, which is heated by one or more lamp modules. Purge gases flow outside the wafer sleeve within a reactor chamber to minimize deposition on the walls of the chamber. In addition, sequencing of the illumination of the individual lamps in the lamp module may further improve the linearity of variation in deposition rates within the wafer sleeve. To improve uniformity, the direction of process gas flow may be varied in a cross-flow configuration. Combining lamp sequencing with cross-flow processing in a multiple reactor system enables high throughput deposition with good film uniformities and efficient use of process gases.
    Type: Grant
    Filed: February 25, 2010
    Date of Patent: March 18, 2014
    Assignee: Crystal Solar, Inc.
    Inventors: Visweswaren Sivaramakrishnan, Kedarnath Sangam, Tirunelveli S. Ravi, Andrzej Kaszuba, Quoc Vinh Truong
  • Publication number: 20140065824
    Abstract: One or more openings in an organic mask layer deposited on a first insulating layer over a substrate are formed. One or more openings in the first insulating layer are formed through the openings in the organic mask using a first iodine containing gas. An antireflective layer can be deposited on the organic mask layer. One or more openings in the antireflective layer are formed down to the organic mask layer using a second iodine containing gas. The first insulating layer can be deposited on a second insulating layer over the substrate. One or more openings in the second insulating layer can be formed using a third iodine containing gas.
    Type: Application
    Filed: November 4, 2013
    Publication date: March 6, 2014
    Inventors: Daisuke Shimizu, Jong Mun Kim
  • Patent number: 8664098
    Abstract: A plasma processing apparatus includes a process chamber, a platen for supporting a workpiece, a source configured to generate a plasma in the process chamber, and an insulating modifier. The insulating modifier has a gap, and a gap plane, where the gap plane is defined by portions of the insulating modifier closest to the sheath and proximate the gap. A gap angle is defined as the angle between the gap plane and a plane defined by the front surface of the workpiece. Additionally, a method of having ions strike a workpiece is disclosed, where the range of incident angles of the ions striking the workpiece includes a center angle and an angular distribution, and where the use of the insulating modifier creates a center angle that is not perpendicular to the workpiece.
    Type: Grant
    Filed: January 19, 2012
    Date of Patent: March 4, 2014
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Ludovic Godet, Timothy J. Miller, Svetlana B. Radovanov, Anthony Renau, Vikram Singh
  • Patent number: 8663391
    Abstract: An electrostatic chuck for receiving a substrate in a substrate processing chamber comprises a ceramic puck having a substrate receiving surface having a plurality of spaced apart mesas, an opposing backside surface, and central and peripheral portions. A plurality of heat transfer gas conduits traverse the ceramic puck and terminate in ports on the substrate receiving surface to provide heat transfer gas to the substrate receiving surface. An electrode is embedded in the ceramic puck to generate an electrostatic force to retain a substrate placed on the substrate receiving surface. A plurality of heater coils are also embedded in the ceramic puck, the heaters being radially spaced apart and concentric to one another.
    Type: Grant
    Filed: July 23, 2012
    Date of Patent: March 4, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Alexander Matyushkin, Dennis Koosau, Theodoros Panagopoulos, John Holland
  • Publication number: 20140053984
    Abstract: Methods and apparatus for modulating azimuthal non-uniformity in a plasma processing chamber are disclosed. Apparatus includes a plasma processing system having a plasma processing chamber and a chamber liner. Modulating the azimuthal non-uniformity includes providing a set of conduction straps to connect the chamber liner to a ground ring whereby the number of conduction straps in the set of conduction straps is greater than 8. Alternatively or additionally, a mirror cut-out is provided for a counterpart existing cut-out or port in the chamber liner. Alternatively or additionally, a dummy structure is provided with the chamber liner for a counterpart structure that impedes at least one of a gas flow and RF return current in the chamber.
    Type: Application
    Filed: November 29, 2012
    Publication date: February 27, 2014
    Inventors: Hyun Ho Doh, Junsic Hong, Paul Reichardt
  • Publication number: 20140057446
    Abstract: Methods of silicon etch for trench sidewall smoothing are described. In one embodiment, a method involves smoothing a sidewall of a trench formed in a semiconductor wafer via plasma etching. The method includes directionally etching the semiconductor wafer with plasma generated from a fluorine gas to smooth the sidewall of the trench, the trench having a protective layer formed by plasma generated by a second process gas such as oxygen or a polymerization gas. In another embodiment, a method involves etching a semiconductor wafer to generate a trench having a smooth sidewall. The method includes plasma etching the semiconductor wafer with one or more first process gases including a fluorine gas, simultaneously performing deposition and plasma etching the semiconductor wafer with one or more second process gases including a fluorine gas and a polymerization gas mix, and performing deposition with one or more third process gases including a polymerization gas.
    Type: Application
    Filed: August 22, 2013
    Publication date: February 27, 2014
    Inventors: Keven YU, Ajay Kumar
  • Publication number: 20140034242
    Abstract: A two piece edge ring assembly is configured to surround a semiconductor substrate in a plasma processing chamber wherein plasma is generated and used to process the semiconductor substrate. The edge ring assembly comprises upper and lower rings which have an outer protective coating. The upper and lower rings are configured such that the upper ring is supported on an outer portion of the upper surface of the lower ring and the protective coatings are on plasma exposed surfaces of the upper and lower rings.
    Type: Application
    Filed: July 31, 2012
    Publication date: February 6, 2014
    Applicant: Lam Research Corporation
    Inventors: Sanket P. Sant, Robert Griffith O'Neill
  • Publication number: 20140034243
    Abstract: A plasma processing system having a plasma processing chamber configured for processing a substrate is provided. The substrate is disposed above a chuck and surrounded by an edge ring, which is electrically isolated from the chuck. The system includes a RF power, which provides power to the chuck. The system also includes a tunable capacitance arrangement, which is coupled to the edge ring to provide RF coupling to the edge ring, resulting in the edge ring having an edge ring potential. The system further includes the plasma processing chamber configured to strike plasma to process the substrate, which is processed while the tunable capacitance arrangement is configured to cause the edge ring potential to be dynamically tunable to a DC potential of the substrate while processing the substrate.
    Type: Application
    Filed: October 18, 2013
    Publication date: February 6, 2014
    Inventors: Rajinder Dhindsa, Alexei Marakhtanov
  • Patent number: 8641825
    Abstract: A substrate temperature regulation fixed apparatus has a base substance on which a vacuumed object is placed, an adhesive layer and a base plate. The base substance is fixed on the base plate through the adhesive layer. The adhesive layer contains a substance having plasma resistance.
    Type: Grant
    Filed: June 11, 2009
    Date of Patent: February 4, 2014
    Assignee: Shinko Electric Industries Co., Ltd.
    Inventors: Hiroshi Yonekura, Miki Saito, Koki Tamagawa
  • Patent number: 8636873
    Abstract: A structure, for use in a processing chamber of a plasma processing apparatus in which a plasma process is performed on a target substrate, includes a base member at least having a first surface and a second surface; and a thermally sprayed insulating film covering the first surface. Further, the structure includes an insulating protection member covering the second surface and made of a material having a linear expansion coefficient different from that of the base member; and an insulating layer interposed between the thermally sprayed insulating film and the insulating protection member to prevent a contact therebetween. The thermally sprayed insulating film, the insulating protection member and the insulating layer constitute an insulating surface covering the first surface and the second surface.
    Type: Grant
    Filed: March 12, 2008
    Date of Patent: January 28, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Masakazu Higuma, Shinji Muto
  • Publication number: 20140014269
    Abstract: A processing apparatus includes a substrate supporting unit that supports a substrate in a processing space in which the substrate is processed, a first partitioning member that includes a ceiling portion having an opening and partitions the processing space from an outer space, and a second partitioning member that is attached to the first partitioning member so as to close the opening and partition the processing space from the outer space together with the first partitioning member. The second partitioning member is attached to the first partitioning member so that the second partitioning member is removable from the first partitioning member by moving the second partitioning member toward a space which a lower surface of the ceiling portion faces.
    Type: Application
    Filed: September 18, 2013
    Publication date: January 16, 2014
    Applicant: CANON ANELVA CORPORATION
    Inventors: Tomoaki Osada, Masami Hasegawa
  • Publication number: 20140014270
    Abstract: Embodiments of gas mixing apparatus are provided herein. In some embodiments, a gas mixing apparatus may include a container defining an interior volume, the container having a closed top and bottom and a sidewall having a circular cross section with respect to a central axis of the container passing through the top and bottom; a plurality of first inlets coupled to the container proximate the top of the container to provide a plurality of process gases to the interior volume of the container, the plurality of first inlets disposed such that a flow path of the plurality of process gases through the plurality of first inlets is substantially tangential to the sidewall of the container; and an outlet coupled to the container proximate the bottom of the container to allow the plurality of process gases to be removed from the interior volume of the container.
    Type: Application
    Filed: June 14, 2013
    Publication date: January 16, 2014
    Inventors: KARTIK SHAH, KALYANJIT GHOSH, SCOTT MCCLELLAND