With Means To Move The Workpiece Inside The Etching Chamber Patents (Class 156/345.54)
  • Patent number: 8541309
    Abstract: A processing assembly for a semiconductor workpiece generally includes a rotor assembly capable of spinning a workpiece, a chemistry delivery assembly for delivering chemistry to the workpiece, and a chemistry collection assembly for collecting spent chemistry from the workpiece. The chemistry collection assembly includes a weir assembly surrounding the rotor assembly and having a plurality of weirs. Methods for processing a semiconductor workpiece generally include moving at least one of the rotor assembly and the weir assembly.
    Type: Grant
    Filed: December 3, 2010
    Date of Patent: September 24, 2013
    Assignee: APPLIED Materials, Inc.
    Inventors: Jason Rye, Kyle M. Hanson
  • Publication number: 20130220546
    Abstract: An apparatus for formation of element(s) of an electrochemical cell using a complete process. The apparatus includes a first work piece configured to a transfer device, a source of material in fluid form, a reaction region operably coupled to the source of material and a second work piece configured within a distance of the reaction region. The apparatus also has an energy source configured to the reaction region to subject a portion of the material to energy to substantially evaporate the portion of the material within a time period and cause deposition of a gaseous species derived from the evaporated material onto a surface region of the second work piece to form a thickness of material for a component of the solid state electrochemical device and a vacuum chamber to maintain at least the first and second work pieces, the reaction region, and the material within a vacuum environment.
    Type: Application
    Filed: April 1, 2013
    Publication date: August 29, 2013
    Applicant: Sakti 3, Inc.
    Inventor: Sakti 3, Inc.
  • Publication number: 20130209198
    Abstract: Techniques for handling media arrays are disclosed. The techniques may be realized as a system for handling a plurality of substrates. The system may comprise a plurality of row elements for supporting the plurality of substrates, wherein the plurality of row elements may be operable to change configuration of the substrates from open configuration to a high-density configuration, where a distance between adjacent substrates in the open configuration may be greater than a distance between the adjacent substrates in the high-density configuration.
    Type: Application
    Filed: February 15, 2012
    Publication date: August 15, 2013
    Applicant: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Paul Forderhase, Julian Blake, William Weaver
  • Patent number: 8506713
    Abstract: The present invention is a film deposition apparatus configured to deposit a film on a substrate that has been loaded into a vacuum container via a transfer opening and placed on a table in the vacuum container, by supplying a process gas to the substrate from a process-gas supply part opposed to the table under a vacuum atmosphere, while heating a table surface of the table, the film deposition apparatus comprising: an elevating mechanism configured to vertically move the table between a process position at which the substrate is subjected to a film deposition process, and a transfer position at which the substrate is transferred to and from an external transfer mechanism that has entered from the transfer opening; a surrounding part configured to surround the table with a gap therebetween, when the table is located at the process position, so that the surrounding part and the table divide an inside of the vacuum container into an upper space, which is located above the table, and a lower space, which is loc
    Type: Grant
    Filed: September 3, 2008
    Date of Patent: August 13, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Toshio Takagi
  • Publication number: 20130178066
    Abstract: Provided is a method of manufacturing a memory device having a 3-dimensional structure, which includes alternately stacking one or more dielectric layers and one or more sacrificial layers on a substrate, forming a through hole passing through the dielectric layers and the sacrificial layers, forming a pattern filling the through hole, forming an opening passing through the dielectric layers and the sacrificial layers, and supplying an etchant through the opening to remove the sacrificial layers. The stacking of the dielectric layers includes supplying the substrate with one or more gases selected from the group consisting of SiH4, Si2H6, Si3H8, and Si4H10, to deposit a silicon oxide layer. The stacking of the sacrificial layers includes supplying the substrate with one or more gases selected from the group consisting of SiH4, Si2H6, Si3H8, Si4H10, and dichloro silane (SiCl2H2), and ammonia-based gas, to deposit a silicon nitride layer.
    Type: Application
    Filed: October 6, 2011
    Publication date: July 11, 2013
    Applicant: EUGENE TECHNOLOGY CO., LTD.
    Inventors: Sung Kil Cho, Hai Won Kim, Sang Ho Woo, Seung Woo Shin, Gil Sun Jang, Wan Suk Oh
  • Patent number: 8454750
    Abstract: The present invention addresses provides improved methods of preparing a low-k dielectric material on a substrate. The methods involve multiple operation ultraviolet curing processes in which UV intensity, wafer substrate temperature and other conditions may be independently modulated in each operation. In certain embodiments, a film containing a structure former and a porogen is exposed to UV radiation in a first operation to facilitate removal of the porogen and create a porous dielectric film. In a second operation, the film is exposed to UV radiation to increase cross-linking within the porous film. In certain embodiments, the curing takes place in a multi-station UV chamber wherein UV intensity and substrate temperature may be independently controlled at each station.
    Type: Grant
    Filed: March 20, 2007
    Date of Patent: June 4, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: Krishnan Shrinivasan, Michael Rivkin, Eugene Smargiassi, Mohamed Sabri
  • Patent number: 8445309
    Abstract: A method of forming an anti-reflective surface for photovoltaic modules. A photovoltaic module including a surface configured to be illuminated is provided. The surface is contacted with an etchant, thereby modifying a portion of the surface to alter the index of refraction.
    Type: Grant
    Filed: August 22, 2011
    Date of Patent: May 21, 2013
    Assignee: First Solar, Inc.
    Inventors: Benyamin Buller, Markus Gloeckler, Yu Yang
  • Publication number: 20130095665
    Abstract: A substrate processing system comprises a first processing module in which a process gas is supplied to a substrate to etch a silicon oxide layer formed on the substrate and a second processing module in which an activated oxygen gas is supplied to the substrate. With the system and a method using the same, the silicon oxide layer can be etched and a condensation layer and/or fumes and/or photoresist residues can be removed in a cost-effective way.
    Type: Application
    Filed: November 29, 2012
    Publication date: April 18, 2013
    Applicant: TES CO. LTD.
    Inventor: TES CO. LTD.
  • Patent number: 8409355
    Abstract: Embodiments of process kits for substrate supports of semiconductor substrate process chambers are provided herein. In some embodiments, a process kit for a semiconductor process chamber may include an annular body being substantially horizontal and having an inner and an outer edge, and an upper and a lower surface; an inner lip disposed proximate the inner edge and extending vertically from the upper surface; and an outer lip disposed proximate the outer edge and on the lower surface, and having a shape conforming to a surface of the substrate support pedestal. In some embodiments, a process kit for a semiconductor process chamber my include an annular body having an inner and an outer edge, and having an upper and lower surface, the upper surface disposed at a downward angle of between about 5-65 degrees in an radially outward direction from the inner edge toward the outer edge.
    Type: Grant
    Filed: April 24, 2008
    Date of Patent: April 2, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Muhammad M. Rasheed, Teruki Iwashita, Hiroshi Otake, Yuki Koga, Kazutoshi Maehara, Xinglong Chen, Sudhir Gondhalekar, Dmitry Lubomirsky
  • Patent number: 8398777
    Abstract: A pedestal positioning assembly system for use in a substrate processing system includes a pedestal rigidly attached to a pedestal shaft, a reference rigidly attached to the substrate processing system, a lateral adjustment assembly to adjust a lateral location of the pedestal relative to the reference, and a vertical adjustment assembly to adjust a tilt of the pedestal relative to the reference. The lateral adjustment assembly and the vertical adjustment assembly are external to a processing chamber and are coupled to the pedestal disposed within the processing chamber through the pedestal shaft. The reference can be a ring and the lateral adjustment assembly substantially centers the pedestal within the ring. A method of adjusting a pedestal includes leveling the pedestal, translating the pedestal, calibrating the pedestal height to a preheat ring level, and checking the level and location of the pedestal while rotating the pedestal.
    Type: Grant
    Filed: September 26, 2008
    Date of Patent: March 19, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Richard O. Collins, Kailash Kiran Patalay, Jean R. Vatus, Zhepeng Cong
  • Patent number: 8398775
    Abstract: The present invention comprises an electrode arrangement for a coating device with a stationary first electrode (3) and a second movable electrode (18), whose principle surfaces are opposing each other during coating, wherein the second electrode (18) may be moved along a plane parallel to the opposing principle surfaces, wherein at least one end face of an electrode running transversely to the principal surface an electrical shield (12, 19, 13) is provided, which extends at least partially parallel to the end face of one electrode, wherein at least one part (14) of the shield is formed so as to be movable.
    Type: Grant
    Filed: November 8, 2007
    Date of Patent: March 19, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Frank Stahr, Ulf Stephan, Olaff Steinke, Klaus Schade
  • Publication number: 20130065378
    Abstract: The present invention provides a method for plasma dicing a substrate. The method comprising providing a process chamber having a wall; providing a plasma source adjacent to the wall of the process chamber; providing a work piece support within the process chamber; placing the substrate onto a support film on a frame to form a work piece work piece; loading the work piece onto the work piece support; providing a cover ring disposed above the work piece; generating a plasma through the plasma source; and etching the work piece through the generated plasma.
    Type: Application
    Filed: March 5, 2012
    Publication date: March 14, 2013
    Inventors: Chris Johnson, David Johnson, Russell Westerman
  • Patent number: 8394233
    Abstract: A mechanism for adjusting an orientation of an electrode in a plasma processing chamber is disclosed. The plasma processing chamber may be utilized to process at least a substrate, which may be inserted into the plasma processing chamber in an insertion direction. The mechanism may include a support plate disposed outside a chamber wall of the plasma processing chamber and pivoted relative to the chamber wall. The support plate may have a first thread. The mechanism may also include an adjustment screw having a second thread that engages the first thread. Turning the adjustment screw may cause translation of a portion of the support plate relative to the adjustment screw. The translation of the portion of the support plate may cause rotation of the support plate relative to the chamber wall, thereby rotating the electrode with respect to an axis that is orthogonal to the insertion direction.
    Type: Grant
    Filed: August 1, 2012
    Date of Patent: March 12, 2013
    Assignee: Lam Research Corporation
    Inventor: James E. Tappan
  • Patent number: 8388853
    Abstract: Embodiments of the present invention provide apparatus and methods for supporting, positioning or rotating a semiconductor substrate during processing. One embodiment of the present invention provides a method for processing a substrate comprising positioning the substrate on a substrate receiving surface of a susceptor, and rotating the susceptor and the substrate by delivering flow of fluid from one or more rotating ports.
    Type: Grant
    Filed: February 5, 2010
    Date of Patent: March 5, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Blake Koelmel, Nyi O. Myo
  • Publication number: 20130048217
    Abstract: An electrostatic chuck includes, a chuck function portion including a plurality of chuck regions on which an attractable object is placed respectively, and a concave surface portion provided in an outer region of the chuck regions, and electrodes arranged in an inner part of the chuck function portion corresponding to the chuck regions and an inner part of the chuck function portion corresponding to the concave surface portion, respectively.
    Type: Application
    Filed: July 12, 2012
    Publication date: February 28, 2013
    Applicant: SHINKO ELECTRIC INDUSTRIES CO., LTD.
    Inventor: Norio SHIRAIWA
  • Patent number: 8373086
    Abstract: Provided are a plasma processing apparatus and method. The plasma processing apparatus includes a chamber, an upper electrode, a lower electrode, a substrate support, and a movement member. The upper electrode is disposed at an inner upper portion of the chamber. The lower electrode faces the upper electrode at an inner lower portion of the chamber to support a substrate such that a bevel of the substrate is exposed in a substrate level etching process. The substrate support is disposed between the upper electrode and the lower electrode to support the substrate such that a central region of a bottom surface of the substrate is exposed in a substrate backside etching process. The movement member is configured to move the substrate support to separate the substrate from the substrate support in the substrate backside etching process.
    Type: Grant
    Filed: April 6, 2009
    Date of Patent: February 12, 2013
    Assignee: Charm Engineering Co., Ltd.
    Inventors: Hyoung Won Kim, Young Soo Seo, Chi Kug Yoon, Jun Hyeok Lee, Young Ki Han, Jae Chul Choi
  • Patent number: 8349085
    Abstract: A substarate processing apparatus capable of reducing the capacity of a space in an internal chamber. The internal chamber is housed in a space in an external chamber. A gas supply unit supplies a process gas into the space in the internal chamber. The space in the external chamber is under a reduced pressure or filled with an inert gas. An enclosure being movable and included in the internal chamber defines the space in the internal chamber with a stage heater included in the internal chamber. When a wafer is transferred in and out by a transfer arm used to transfer the wafer, the enclosure exits out of a motion range within which the transfer arm can move.
    Type: Grant
    Filed: January 31, 2008
    Date of Patent: January 8, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Shigeru Tahara, Seiichi Takayama, Morihiro Takanashi
  • Patent number: 8349128
    Abstract: A method and apparatus for etching a substrate using a spatially modified plasma is provided herein. In one embodiment, the method includes providing a process chamber having a plasma stabilizer disposed above a substrate support pedestal. A substrate is placed upon the pedestal. A process gas is introduced into the process chamber and a plasma is formed from the process gas. The substrate is etched with a plasma having an ion density to radical density ratio defined by the plasma stabilizer.
    Type: Grant
    Filed: June 30, 2004
    Date of Patent: January 8, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Valentin N. Todorow, John P. Holland, Michael D. Willwerth
  • Patent number: 8349084
    Abstract: An apparatus for sequential deposition of an intermixed thin film layer and a sublimated source material on a photovoltaic (PV) module substrate is provided, along with associated processes. The process can include introducing a substrate into a deposition chamber, wherein a window layer (e.g., a cadmium sulfide layer) is on a surface of the substrate. A sulfur-containing gas can be supplied to the deposition chamber. In addition, a source vapor can be supplied to the deposition chamber, wherein the source material comprises cadmium telluride. The sulfur-containing gas and the source vapor can be present within the deposition chamber to form an intermixed layer on the window layer. In one particular embodiment, for example, the intermixed layer generally can have an increasing tellurium concentration and decreasing sulfur concentration extending away from the window layer.
    Type: Grant
    Filed: April 5, 2011
    Date of Patent: January 8, 2013
    Assignee: General Electric Company
    Inventors: James Neil Johnson, Bastiaan Arie Korevaar, Yu Zhao
  • Patent number: 8337622
    Abstract: A semiconductor device manufacturing apparatus includes a chamber in which a wafer is loaded; a first gas supply unit for supplying a process gas into the chamber; a gas exhaust unit for exhausting a gas from the chamber; a wafer support member on which the wafer is placed; a ring on which the wafer support member is placed; a rotation drive control unit connected to the ring to rotate the wafer; a heater disposed in the ring and comprising a heater element for heating the wafer to a predetermined temperature and including an SiC layer on at least a surface, and a heater electrode portion molded integrally with a heater element and including an SiC layer on at least a surface; and a second gas supply unit for supplying an SiC source gas into the ring.
    Type: Grant
    Filed: October 13, 2010
    Date of Patent: December 25, 2012
    Assignee: NuFlare Technology, Inc.
    Inventors: Kunihiko Suzuki, Shinichi Mitani
  • Publication number: 20120305192
    Abstract: A system for fluid processing one or more substrate surfaces arrayed in a fluid. The system has a process module with a frame and a plurality of fluid jet elements to inject a fluid at the substrate surfaces without contacting the substrate surfaces. A substrate holder assembly has a holder frame and a number of substrate holders, each of which is coupled to the holder frame and configured to hold a substrate so that a different substrate is held by each substrate holder of the substrate holder assembly for transport therewith as a unit to and from the process module. The substrate holder assembly and each substrate holder of the substrate holder assembly are removably coupled to the process module frame and, when coupled to the process module frame, each substrate holder is independently moveable and positionable relative to the other substrate holders of the substrate holder assembly.
    Type: Application
    Filed: June 4, 2012
    Publication date: December 6, 2012
    Inventors: ARTHUR KEIGLER, Freeman Fisher, Daniel L. Goodman
  • Publication number: 20120305193
    Abstract: A system for fluid processing one or more substrate surfaces arrayed in a fluid. The system has a process module with a frame and a plurality of agitation members to fluid process the substrate surfaces without contacting the substrate surfaces. A substrate holder assembly has a holder frame and a number of substrate holders, each of which is coupled to the holder frame and configured to hold a substrate so that a different substrate is held by each substrate holder of the substrate holder assembly for transport therewith as a unit to and from the process module. The substrate holder assembly and each substrate holder of the substrate holder assembly are removably coupled to the process module frame and, when coupled to the process module frame, each substrate holder is independently moveable and positionable relative to the other substrate holders of the substrate holder assembly.
    Type: Application
    Filed: June 4, 2012
    Publication date: December 6, 2012
    Inventors: Arthur Keigler, Freeman Fisher, Daniel L. Goodman
  • Publication number: 20120305194
    Abstract: A plasma processing device capable of positioning a protective member for covering the upper surface of a peripheral edge portion of a substrate, with high accuracy. A plasma processing device has, a platen on which a substrate K is placed, a gas supply device, a plasma generating device, an RF power supply unit, an annular and plate-shaped protective member configured to be capable of being placed on a peripheral portion of the platen and which covers a peripheral edge portion of the substrate K, support members 35 supporting the protective member, and a lifting cylinder lifting up and down the platen. At least three first protrusions which are engaged with the peripheral portion of the platen are formed on a pitch circle on the lower surface of the protective member and the center of the pitch circle is co-axial with the central axis of the protective member.
    Type: Application
    Filed: November 25, 2010
    Publication date: December 6, 2012
    Applicant: SPP TECHNOLOGIES CO., LTD.
    Inventors: Yasuyuki Hayashi, Kenichi Tomisaka
  • Patent number: 8323413
    Abstract: A susceptor and a semiconductor manufacturing apparatus including the same are provided. A wafer is loaded on a susceptor and the susceptor includes at least one pocket whose bottom surface is inclined. The semiconductor manufacturing apparatus includes a reaction chamber, a heating unit that generates heat in the reaction chamber, a susceptor on which a wafer is loaded and that includes at least one pocket whose bottom surface is inclined, and a rotation shaft coupled with the susceptor.
    Type: Grant
    Filed: March 9, 2007
    Date of Patent: December 4, 2012
    Assignee: LG Innotek Co., Ltd
    Inventor: Hyo Kun Son
  • Publication number: 20120298133
    Abstract: A device to provide improved anti-smudging, better gripping and longer shelf-life to products and surfaces includes an electric superheated steam generator and an electric low-ion plasma generator to provide superheated steam and low-ion plasma to the surfaces of products including plastics. One embodiment envisions the superheated steam generator and the low-ion plasma generator being contained in a housing while another embodiment anticipates a conveyor means positioned in front of the superheated steam generator and the low-ion plasma generator. A method for the improving of anti-smudging, gripping and shelf-life for properties includes the application of superheated steam and low-ion plasma by means of a superheated steam generator and a low-ion plasma generator to products for specific periods of time and at specific distances to attain desired surface and bulk properties. The superheated steam and low-ion plasma may be applied individually, simultaneously or sequentially.
    Type: Application
    Filed: September 20, 2010
    Publication date: November 29, 2012
    Inventors: Venkata Burada, Jainagesh Sekhar, Jerod Batt, G.S. Reddy, Brian Kandell
  • Patent number: 8313612
    Abstract: Provided is a substrate dechucking system of a plasma processing chamber adapted to remove a substrate from an ESC with reduction in voltage potential spike during dechucking of the substrate.
    Type: Grant
    Filed: March 24, 2009
    Date of Patent: November 20, 2012
    Assignee: Lam Research Corporation
    Inventors: Brian McMillin, Jose V. Tong, Yen-Kun Victor Wang
  • Publication number: 20120289053
    Abstract: A semiconductor substrate processing system includes a substrate support defined to support a substrate in exposure to a processing region. The system also includes a first plasma chamber defined to generate a first plasma and supply reactive constituents of the first plasma to the processing region. The system also includes a second plasma chamber defined to generate a second plasma and supply reactive constituents of the second plasma to the processing region. The first and second plasma chambers are defined to be independently controlled.
    Type: Application
    Filed: May 10, 2011
    Publication date: November 15, 2012
    Applicant: Lam Research Corporation
    Inventors: John Patrick Holland, Peter L.G. Ventzek, Harmeet Singh, Richard Gottscho
  • Patent number: 8282767
    Abstract: A plasma processing apparatus including a chamber having an inner wall with a protective film thereon and a sample stage disposed in the chamber in which plasma is generated by supplying high-frequency wave energy to processing gas to conduct plasma processing for a sample on the sample stage using the plasma. The apparatus includes a control device which determines, based on monitor values of a wafer attracting current monitor (Ip) to monitor a current supplied from a wafer attracting power source, an impedance monitor (Zp) to monitor plasma impedance viewed from a plasma generating power source, and an impedance monitor (Zb) to monitor a plasma impedance viewed from a bias power supply, presence or absence of occurrence of an associated one of abnormal discharge in inner parts, deterioration in insulation of an insulating film of a wafer attracting electrode, and abnormal injection in a gas injection plate.
    Type: Grant
    Filed: February 22, 2011
    Date of Patent: October 9, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Naoshi Itabashi, Tsutomu Tetsuka, Seiichiro Kanno, Motohiko Yoshigai
  • Patent number: 8257499
    Abstract: A vapor phase deposition apparatus includes: a chamber, a supply unit configured to supply a raw gas into the chamber, a support table disposed in the chamber and configured to support a substrate in the chamber, a rotatable bladed wheel configured to have a plurality of blades, to be arranged to surround the support table, and to discharge the raw gas from above the substrate, and a exhaust unit configured to exhaust the raw gas discharged by the bladed wheel after a vapor phase deposition reaction from the chamber.
    Type: Grant
    Filed: February 12, 2008
    Date of Patent: September 4, 2012
    Assignee: NuFlare Technology, Inc.
    Inventors: Kunihiko Suzuki, Hideki Arai, Hironobu Hirata
  • Patent number: 8257500
    Abstract: In a transport device for elongated substrates, especially in hot processes, which includes an essentially rectangular frame, formed by longitudinal and transverse spars connected to each other, in which at least three transverse spars are provided between two longitudinal spars, at least one transverse spar is made from a material, whose heat expansion coefficient differs from the heat expansion coefficient of the material of the other transverse spars or/and at least one transverse spar is connected force-free to at least one transverse support or/and at least one transverse spar is formed from an open profile.
    Type: Grant
    Filed: October 8, 2008
    Date of Patent: September 4, 2012
    Assignee: Von Ardenne Anlagentechnik GmbH
    Inventors: Johannes Struempfel, Reinhardt Bauer, Andreas Heisig, Andre Ulbricht, Steffen Goerke, Heiko Richter, Falk Schwerdtfeger
  • Patent number: 8257547
    Abstract: A surface activation device comprises a holding compartment, a nozzle support, and a sealing assembly. The holding compartment defines a receiving chamber and defining a plurality of recesses for holding workpieces therein. The nozzle support is rotatably received in the receiving chamber and comprises an outer barrel, an inner barrel is received in the outer barrel, and at least one ultraviolet (UV) lamp is embedded in the outer barrel. The outer barrel and the inner barrel cooperatively define a first chamber therebetween, and the inner barrel defines a second chamber therein. The sealing assembly seals the first chamber and the second chamber, and comprises at least one first inlet tube communicated with the first chamber and at least one second inlet tube communicated with the second chamber.
    Type: Grant
    Filed: May 31, 2010
    Date of Patent: September 4, 2012
    Assignee: Hon Hai Precision Industry Co., Ltd.
    Inventor: Shao-Kai Pei
  • Patent number: 8257548
    Abstract: A mechanism for adjusting an orientation of an electrode in a plasma processing chamber is disclosed. The plasma processing chamber may be utilized to process at least a substrate, which may be inserted into the plasma processing chamber in an insertion direction. The mechanism may include a support plate disposed outside a chamber wall of the plasma processing chamber and pivoted relative to the chamber wall. The support plate may have a first thread. The mechanism may also include an adjustment screw having a second thread that engages the first thread. Turning the adjustment screw may cause translation of a portion of the support plate relative to the adjustment screw. The translation of the portion of the support plate may cause rotation of the support plate relative to the chamber wall, thereby rotating the electrode with respect to an axis that is orthogonal to the insertion direction.
    Type: Grant
    Filed: July 3, 2008
    Date of Patent: September 4, 2012
    Assignee: Lam Research Corporation
    Inventor: James E. Tappan
  • Publication number: 20120199552
    Abstract: A sample stage for processing a sample in an ion beam etching apparatus has positioning arrangements each having a receiving apparatus and a mask, a sample being mountable in the receiving apparatus with reference to an ion beam and positionable relative to the mask. The sample stage includes a mechanism that enables a switchover between respective positioning arrangements so a selected positioning arrangement is respectively orientable toward the ion beam. The sample in the selected positioning arrangement is exposed to the ion beam while the remaining positioning arrangements face away from the ion beam. The positioning arrangements are arranged in one common vessel. A method for sequential preparation of at least two samples in an ion beam etching unit using the sample stage is also disclosed.
    Type: Application
    Filed: February 8, 2012
    Publication date: August 9, 2012
    Applicant: LEICA MIKROSYSTEME GMBH
    Inventors: Thomas PFEIFER, Heinz PLANK
  • Patent number: 8226770
    Abstract: Methods and apparatus for providing constant emissivity of the backside of susceptors are provided. Provided is a susceptor comprising: a susceptor plate having a surface for supporting a wafer and a backside surface opposite the wafer supporting surface; a layer comprising an oxide, a nitride, an oxynitride, or combinations thereof located on the backside surface of the susceptor plate, the layer being stable in the presence of a reactive process gas. The layer comprises, for example, silicon dioxide, silicon nitride, silicon oxynitride, or combinations thereof. Also provided is a method comprising: providing a susceptor in a deposition chamber, the susceptor comprising a susceptor plate and a layer comprising an oxide, a nitride, an oxynitride, or combinations thereof, the layer being stable in the presence of the reactive process gases; locating the wafer on a support surface of the susceptor plate.
    Type: Grant
    Filed: May 4, 2007
    Date of Patent: July 24, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Errol Sanchez, David K. Carlson, Craig Metzner
  • Patent number: 8222119
    Abstract: A method for temperature control during a process of cleaving a plurality of free-standing thick films from a bulk material includes clamping a bulk material using a mechanical clamp device adapted to engage the bottom region of the bulk material through a seal with a planar surface of a stage to form a cavity with a height between the bottom region and the planar surface. The planar surface includes a plurality of gas passageways allowing a gas filled in the cavity with adjustable pressure. The method also includes maintaining the temperature of the surface region by processing at least input data and executing a control scheme utilizing at least one or more of: particle bombardment to heat the surface region; radiation to heat the surface region; and gas-assisted conduction between the bottom region and the stage.
    Type: Grant
    Filed: September 27, 2011
    Date of Patent: July 17, 2012
    Assignee: Silicon Genesis Corporation
    Inventor: Francois J. Henley
  • Patent number: 8216422
    Abstract: An apparatus for supporting a substrate within a processing chamber is provided. In one aspect, a substrate support member is provided comprising a housing having a bore formed therethrough, a support pin at least partially disposed within the bore, and a plurality of bearing elements disposed about the housing. In one aspect, the bearing elements comprise a roller having a central bore formed therethrough, a contoured outer surface, and a shaft at least partially disposed through the central bore. In another aspect, the bearing elements comprise a ball assembly comprising a larger spherical member and four smaller spherical members arranged about the larger spherical member.
    Type: Grant
    Filed: January 27, 2005
    Date of Patent: July 10, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Shinichi Kurita, Suhail Anwar, Toshio Kiyotake
  • Patent number: 8216376
    Abstract: A method of combinatorially processing a substrate and combinatorial processing chamber are provided. The processing chamber includes opposing annular rings defining a conductance gap that extends radially outward. The opposing annular rings are configured to vary the conductance gap in-situ. The variation of the conductance gap is another parameter for processing regions of a substrate differently to evaluate the impact of the conductance variation on a deposition process.
    Type: Grant
    Filed: January 15, 2009
    Date of Patent: July 10, 2012
    Assignee: Intermolecular, Inc.
    Inventors: Rick Endo, Jay Dedontney, James Tsung
  • Patent number: 8211230
    Abstract: An atomic deposition (ALD) thin film deposition apparatus includes a deposition chamber configured to deposit a thin film on a wafer mounted within a space defined therein. The deposition chamber comprises a gas inlet that is in communication with the space. A gas system is configured to deliver gas to the gas inlet of the deposition chamber. At least a portion of the gas system is positioned above the deposition chamber. The gas system includes a mixer configured to mix a plurality of gas streams. A transfer member is in fluid communication with the mixer and the gas inlet. The transfer member comprising a pair of horizontally divergent walls configured to spread the gas in a horizontal direction before entering the gas inlet.
    Type: Grant
    Filed: January 17, 2006
    Date of Patent: July 3, 2012
    Assignee: ASM America, Inc.
    Inventors: Mohith Verghese, Kyle Fondurulia, Carl White, Eric Shero, Darko Babic, Herbert Terhorst, Marko Peussa, Min Yan
  • Publication number: 20120138230
    Abstract: Systems and methods for moving substrates through process chambers for photovoltaic (PV) or solar cell applications are disclosed. In particular, systems and methods for moving substrates through process chambers using a conveyor belt are disclosed. The conveyor belt can be used to move the substrates through etch chambers, chemical vapor deposition (CVD) chambers, and/or ion implant chambers, and the like.
    Type: Application
    Filed: December 6, 2011
    Publication date: June 7, 2012
    Inventors: Terry BLUCK, Young Kyu Cho, Dennis Grimard, Karthik Janakiraman, Moon Chun
  • Publication number: 20120111502
    Abstract: Disclosed is the structure of a substrate supporting table wherein corrosion of a bellows is eliminated, generation of dusts from the bellows is suppressed, and the volume and the weight of the sections to be driven are reduced. A plasma processing apparatus is also disclosed. In the substrate supporting table for the plasma processing apparatus (10), a cylindrical inner tube (12), the bellows (13), an outer tube (14) and a cover member (15) are sequentially disposed concentrically from the inner side, and a drive member (21) to be driven by means of a drive mechanism (24) is attached to the rear surface of the placing table (16) through an opening (11b) and the inside of the inner tube (12).
    Type: Application
    Filed: May 24, 2010
    Publication date: May 10, 2012
    Applicant: MITSUBISHI HEAVY INDUSTRIES, LTD.
    Inventors: Ryuichi Matsuda, Kazuto Yoshida
  • Publication number: 20120097332
    Abstract: Apparatus for processing a substrate is disclosed herein. In some embodiments, a substrate support may include a substrate support having a support surface for supporting a substrate the substrate support having a central axis; a first electrode disposed within the substrate support to provide RF power to a substrate when disposed on the support surface; an inner conductor coupled to the first electrode about a center of a surface of the first electrode opposing the support surface, wherein the inner conductor is tubular and extends from the first electrode parallel to and about the central axis in a direction away from the support surface of the substrate support; an outer conductor disposed about the inner conductor; and an outer dielectric layer disposed between the inner and outer conductors, the outer dielectric layer electrically isolating the outer conductor from the inner conductor. The outer conductor may be coupled to electrical ground.
    Type: Application
    Filed: October 22, 2010
    Publication date: April 26, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: XING LIN, DOUGLAS A. BUCHBERGER, JR., XIAOPING ZHOU, ANDREW NGUYEN, ANCHEL SHEYNER
  • Patent number: 8152924
    Abstract: The invention relates to a device for depositing at least one layer on a substrate by means of a process gas which is introduced through a flow channel (4), extending in a vertical direction, of a gas inlet member (3), fixed in place with respect to a reactor housing, into a process chamber (1), extending in a horizontal direction, wherein the process gas leaves a gas outlet opening of a portion of the gas inlet member (3), protruding into the center of the rotationally symmetrical process chamber (1), and flows in a radially outward direction via a base (8?) of the process chamber (1), extending in a horizontal direction and rotating about the center, on which base the substrate lies. In order to improve the gas flow directly above the base of the process chamber, it is proposed that the front (3?) of the gas inlet member (3) protrudes into a pot-like recess (23) and an end portion (6?) of a gas deflecting face (6) is flush with the base (8?).
    Type: Grant
    Filed: November 11, 2006
    Date of Patent: April 10, 2012
    Assignee: Aixtron Inc.
    Inventors: Martin Dauelsberg, Johannes Käppeler, Conor Martin
  • Patent number: 8137465
    Abstract: The present invention relates to curing of semiconductor wafers. More particularly, the invention relates to cure chambers containing multiple cure stations, each featuring one or more UV light sources. The wafers are cured by sequential exposure to the light sources in each station. In some embodiments, the wafers remain stationary with respect to the light source during exposure. In other embodiments, there is relative movement between the light source and the wafer during exposure. The invention also provides chambers that may be used to independently modulate the cross-linking, density and increase in stress of a cured material by providing independent control of the wafer temperature and UV intensity.
    Type: Grant
    Filed: April 26, 2005
    Date of Patent: March 20, 2012
    Assignee: Novellus Systems, Inc.
    Inventors: Krishna Shrinivasan, Feng Wang, George Kamian, Steve Gentile, Mark Yam
  • Publication number: 20120064734
    Abstract: The present invention provides a substrate carrying mechanism, a substrate processing apparatus, and a semiconductor device manufacturing method that, when carrying a substrate, suppress the generation of scratches and particles caused by substrate deformation, suppress a decrease in substrate holding force due to substrate deformation, and realizes stable high-speed carriage. Namely, the substrate carrying mechanism, the substrate processing apparatus, and the semiconductor device manufacturing method of the present invention include: a plate-like body that becomes a support base body of a substrate that is a carrying subject; and substrate support portions in which plural convex portions disposed on a surface of the plate-like body are placed on a circumference of a circle that is smaller than the diameter of the substrate.
    Type: Application
    Filed: September 5, 2011
    Publication date: March 15, 2012
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventor: Akira Takahashi
  • Patent number: 8124907
    Abstract: Embodiments of the invention include a load lock chamber having a decoupled slit valve door seal compartment. In one embodiment, a load lock chamber includes a main assembly, a first slit valve door seal compartment and a seal assembly. The main assembly has a substrate transfer cavity formed therein. Two substrate access ports are formed through the main assembly and fluidly couple to the cavity. The first slit valve door seal compartment has an aperture disposed adjacent to and aligned with one of the access ports. The first slit valve door seal compartment is decoupled from the main assembly. The seal assembly couples the first slit valve door seal compartment to the main assembly.
    Type: Grant
    Filed: July 24, 2007
    Date of Patent: February 28, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Jae-Chull Lee, Suhail Anwar, Shinichi Kurita
  • Publication number: 20120045867
    Abstract: An anti-reflective surface on a photovoltaic can reduce optical reflection.
    Type: Application
    Filed: August 22, 2011
    Publication date: February 23, 2012
    Inventors: Benyamin Buller, Markus Gloeckler, Yu Yang
  • Patent number: 8110045
    Abstract: Processing equipment for an object to be processed is provided with a process container, the internal of which can be evacuated, a gas introducing means for introducing a prescribed gas into the process container, a supporting table provided in the process container, a ring-shaped supporting part provided on the supporting table, a mounting plate for mounting the object to be processed and supported by the supporting part, a plurality of thermoelectric conversion elements provided on an upper plane of the supporting table on an inner side of the supporting part, an element storing space evacuating means for evacuating inside the element storing space formed by a lower surface of the mounting plate, which is supported by the supporting part, an upper plane of the supporting table and the supporting part.
    Type: Grant
    Filed: October 29, 2010
    Date of Patent: February 7, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Masatake Yoneda, Shigeru Kasai, Masahiro Shimizu
  • Publication number: 20120024479
    Abstract: Apparatus for controlling the flow of a gas in a process chamber is provided herein. In some embodiments, an apparatus for controlling the flow of a gas in a process chamber having a processing volume within the process chamber disposed above a substrate support and a pumping volume within the process chamber disposed below the substrate support may include an annular plate surrounding the substrate support proximate a level of a substrate support surface of the substrate support, wherein the annular plate extends radially outward toward an inner peripheral surface of the process chamber to define a uniform gap between an outer edge of the annular plate and the inner peripheral surface, wherein the uniform gap provides a uniform flow path from the processing volume to the pumping volume.
    Type: Application
    Filed: January 27, 2011
    Publication date: February 2, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: DAVID PALAGASHVILI, MICHAEL D. WILLWERTH, JINGBAO LIU
  • Publication number: 20120003836
    Abstract: A movable ground ring of a movable substrate support assembly is described. The movable ground ring is configured to fit around and provide an RF return path to a fixed ground ring of the movable substrate support assembly in an adjustable gap capacitively-coupled plasma processing chamber wherein a semiconductor substrate supported in the substrate support assembly undergoes plasma processing.
    Type: Application
    Filed: June 30, 2010
    Publication date: January 5, 2012
    Applicant: Lam Research Corporation
    Inventors: Michael C. Kellogg, Alexei Marakhtanov, Rajinder Dhindsa
  • Patent number: RE43837
    Abstract: A substrate supporting apparatus includes a plate member of an aluminum alloy having a flat upper surface, bottomed pits formed in the plate member, and spacer members held in the pits, individually. The spacer members are sapphire spheres. The diameter of each spacer member is a little smaller than that of each pit. The upper end of each spacer member projects from the upper surface of the plate member. A spot facing is formed in a region that includes the open edge portion of the pit. A bending portion which is obtained by plastically deforming the open edge portion of the pit toward the spacer member is formed on a bottom surface of the spot facing. A V-shaped groove is formed behind the bending portion.
    Type: Grant
    Filed: March 16, 2011
    Date of Patent: December 4, 2012
    Assignee: NHK Spring Co., Ltd.
    Inventors: Naoya Kida, Toshihiro Tachikawa, Jun Futakuchiya