Coating Patents (Class 204/298.02)
  • Publication number: 20110180402
    Abstract: To provide a vacuum processing apparatus capable of supporting and conveying a substrate by a method suitable for a processing content in each processing step and capable of suppressing various mechanisms provided within a processing chamber from being adversely affected. More particularly, the CVD chamber of the apparatus is configured to be horizontal, and hence the above-mentioned problem can be solved. Further, by configuring a sputtering apparatus as the vertical type processing apparatus, problems with abnormal electrical discharge can be solved.
    Type: Application
    Filed: October 7, 2009
    Publication date: July 28, 2011
    Applicant: ULVAC, INC.
    Inventors: Takaomi Kurata, Junya Kiyota, Makoto Arai, Yasuhiko Akamatsu, Satoru Ishibashi, Shin Asari, Kazuya Saito, Shigemitsu Sato, Masashi Kikuchi
  • Publication number: 20110180388
    Abstract: [Object] To provide a plasma processing method and a plasma processing apparatus having high coverage property and excellent in-plane uniformity. [Solving Means] When sputtered particles that are beat out from a target by plasma are deposited on a surface of a substrate, those sputtered particles are decomposed by the plasma to thus generate active species, and then deposited on the surface of the substrate. Accordingly, a deposition mode similar to plasma CVD is obtained, and sputtering deposition with high coverage property and excellent in-plane uniformity is enabled. Particularly, since a high-frequency electric field and a ring-shaped magnetic neutral line are used for a plasma source, it is possible to efficiently generate plasma that has extremely high density in a region in which a magnetic field is zero. That plasma realizes plasma processing with high in-plane uniformity by arbitrarily adjusting a formation position and a size of the magnetic neutral line.
    Type: Application
    Filed: August 5, 2008
    Publication date: July 28, 2011
    Applicant: ULVAC, INC.
    Inventors: Yasuhiro Morikawa, Koukou Suu
  • Publication number: 20110165348
    Abstract: A method of coating a surface comprising providing a source of amorphous metal that contains manganese (1 to 3 atomic %), yttrium (0.1 to 10 atomic %), and silicon (0.3 to 3.1 atomic %) in the range of composition given in parentheses; and that contains the following elements in the specified range of composition given in parentheses: chromium (15 to 20 atomic %), molybdenum (2 to 15 atomic %), tungsten (1 to 3 atomic %), boron (5 to 16 atomic %), carbon (3 to 16 atomic %), and the balance iron; and applying said amorphous metal to the surface by a spray.
    Type: Application
    Filed: February 14, 2011
    Publication date: July 7, 2011
    Applicant: Lawrence Livermore National Security, LLC
    Inventors: Joseph C. Farmer, Frank M.G. Wong, Jeffery J. Haslam, Xiaoyan (Jane) Ji, Sumner D. Day, Craig A. Blue, John D.K. Rivard, Louis F. Aprigliano, Leslie K. Kohler, Robert Bayles, Edward J. Lemieux, Nancy Yang, John H. Perepezko, Larry Kaufman, Arthur Heuer, Enrique J. Lavernia
  • Publication number: 20110162959
    Abstract: A plurality of vacuum pumps each having a refrigerator are connected to a common compressor. At least one of the plurality of vacuum pumps performs repeating an operation including a process in which a gas in a low-pressure state is adiabatically compressed when the interior of a cylinder shifts from the low-pressure state to a high-pressure state as a result of a valve operation of the refrigerator, and a process in which a displacer passes through the adiabatically compressed gas. At least another one of the plurality of vacuum pumps performs repeating an operation including a process in which a gas in the high-pressure state is adiabatically expanded when the interior of the cylinder shifts from the high-pressure state to the low-pressure state as a result of the valve operation of the refrigerator, and a process in which the displacer passes through the adiabatically expanded gas.
    Type: Application
    Filed: March 15, 2011
    Publication date: July 7, 2011
    Applicant: CANON ANELVA CORPORATION
    Inventors: Takahiro Okada, Kazutoshi Aoki, Hisayoshi Komai
  • Publication number: 20110162704
    Abstract: A method and apparatus for forming a protective coating on a photovoltaic device is provided. The photovoltaic device is formed by depositing photoelectric conversion units on a substrate, and by forming conductive layers and contacts on the photoelectric conversion units. The protective coating is formed by a deposition process, such as physical or chemical vapor deposition.
    Type: Application
    Filed: December 10, 2010
    Publication date: July 7, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Hien-Minh Huu Le, Mohd Fadzli Anwar Hassan, David Tanner
  • Publication number: 20110155561
    Abstract: The present invention provides a reactive sputtering method and a reactive sputtering apparatus which suppress a film quality change caused by a temperature variation in continuous substrate processing. An embodiment of the present invention performs reactive sputtering while adjusting a flow rate of reactive gas according to the temperature of a constituent member facing a sputtering space. Specifically, a temperature sensor is provided on a shield and the flow rate is adjusted according to the temperature. Thereby, even when a degassing amount of a film adhering to the shield changes, a partial pressure of reactive gas can be set to a predetermined value. For a resistance change layer constituting a ReRAM, a perovskite material such as PrCaMn03 (PCMO), LaSrMnO3 (LSMO), and GdBaCoxOy (GBCO), a two-element type transition metal oxide material which has a composition shifted from a stoichiometric one, such as nickel oxide (NiO), vanadium oxide (V2O5), and the like are used.
    Type: Application
    Filed: December 21, 2010
    Publication date: June 30, 2011
    Applicant: CANON ANELVA CORPORATION
    Inventors: Yuichi OTANI, Takashi NAKAGAWA
  • Publication number: 20110147198
    Abstract: A plurality of vacuum pumps each having a refrigerator are connected to a common compressor. At least one of the plurality of vacuum pumps performs an operation for repeating an operation including a process in which a gas in a low-pressure state is adiabatically compressed when the interior of a cylinder shifts from the low-pressure state to a high-pressure state as a result of a valve operation of the refrigerator, and a process in which a displacer passes through the adiabatically compressed gas. At least another one of the plurality of vacuum pumps performs an operation for repeating an operation including a process in which a gas in the high-pressure state is adiabatically expanded when the interior of the cylinder shifts from the high-pressure state to the low-pressure state as a result of the valve operation of the refrigerator, and a process in which the displacer passes through the adiabatically expanded gas.
    Type: Application
    Filed: March 1, 2011
    Publication date: June 23, 2011
    Applicant: CANON ANELVA CORPORATION
    Inventors: Takahiro Okada, Kazutoshi Aoki, Hisayoshi Komai
  • Publication number: 20110139606
    Abstract: The magnetic anisotropy of a magnetic layer in a spin valve tunnel magnetoresistive element or giant magnetoresistive element is enhanced. Deposition of the magnetic layer is performed by making sputtering particles obliquely incident on a substrate from a certain incident direction at a certain incident angle.
    Type: Application
    Filed: December 20, 2010
    Publication date: June 16, 2011
    Applicant: CANON ANELVA CORPORATION
    Inventors: Koji TSUNEKAWA, Hiroyuki Hosoya, Yoshinori Nagamine, Shinji Furukawa, Naoki Watanabe
  • Publication number: 20110139611
    Abstract: In an apparatus for fabricating a thin film transistor, amorphous silicon is deposited on a substrate in a first multi-chamber and is crystallized into polycrystalline silicon without using a separate process chamber or multi-chamber, and the substrate deposited with the amorphous silicon is loaded into a second multi-chamber for forming electrodes, thereby making it possible to minimize a characteristic deviation and improve fabrication process efficiency. The apparatus includes a first multi-chamber in which amorphous silicon is deposited on a substrate, a second multi-chamber in which electrodes are formed on the substrate, and a loading/unloading chamber interposed between the first multi-chamber and the second multi-chamber. The loading/unloading chamber includes a substrate holder on a lower side thereof and a power voltage supplier on an upper side thereof.
    Type: Application
    Filed: December 8, 2010
    Publication date: June 16, 2011
    Applicant: SAMSUNG MOBILE DISPLAY CO., LTD.
    Inventors: Beong-Ju Kim, Ji-Su Ahn, Cheol-Ho Yu, Sung-Chul Kim
  • Patent number: 7959775
    Abstract: A ceramic window in an iPVD module is provided with features that reduce heating of the window as a result of metal film deposits on the window. Dielectric dissipation and resistive heating of the metal film are reduced by the features. Reducing of the window heating is provided by either shaping the window surface on the chamber side of the window or providing structurally floating features to block at least a portion of a conductive path from forming on the chamber side window surface. The shaping can produce contours that prevent current paths from being created in the forming metal film. In addition or in the alternative, texture on the chamber side surface of the window is provided to increase surface area and thereby reduce film thickness.
    Type: Grant
    Filed: September 29, 2006
    Date of Patent: June 14, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Mirko Vukovic, Ronald Nasman
  • Publication number: 20110114474
    Abstract: This invention relates to a method and apparatus for deposition of a diffused thin film, useful in the fabrication of semiconductors and for the surface DC-Bias coating of various tools. In order to coat the surface of a treatment object, such as semiconductors, various molded products, or various tools, with a thin film, one or more process factors selected from among a bias voltage, a gas quantity, an arc power, and a sputtering power are continuously and variably adjusted, whereby the composition ratio of the thin film which is formed on the surface of the treatment object not through a chemical reaction but through a physical method is continuously varied, thus manufacturing a thin film having high hardness. The composition ratio of the thin film to be deposited is selected depending on the end use thereof, thereby depositing the thin film having superior wear resistance, impact resistance, and heat resistance.
    Type: Application
    Filed: November 22, 2007
    Publication date: May 19, 2011
    Inventors: Sang-Youl Bae, Si-Young Choi, Sung-Youp Chung, Jung-Hyun Choi
  • Patent number: 7935187
    Abstract: The invention provides a multi-film forming apparatus including a substrate holder stock chamber for storing a plurality of substrate holders separately from a path in the multi-film forming apparatus, so that production can be performed without being affected by the process of removing a film accumulated on the surface of the substrate holder and the process of replacing the substrate holder, or by the process of removing a film accumulated on the surface of the substrate holder or the process of replacing the substrate holder, and hence high-throughput production is possible. A branch path is provided on the path of the multi-film forming apparatus, and a substrate holder stock chamber for storing a plurality of substrate holders which enables retrieval of the substrate holder from the path and feeding of the substrate holder to the path is provided.
    Type: Grant
    Filed: February 14, 2008
    Date of Patent: May 3, 2011
    Assignee: Anelva Corporation
    Inventors: Shinji Furukawa, Masahiro Shibamoto
  • Publication number: 20110089026
    Abstract: A touch panel manufacturing method is a method for manufacturing a touch panel including a transparent substrate having a main surface on which a transparent-electroconductive film is formed. The transparent-electroconductive film is formed on the main surface of the transparent substrate by carrying out sputtering using a target made of a zinc oxide-based material in a reactive gas atmosphere containing two or three gases selected from a group consisting of hydrogen gas, oxygen gas, and water vapor.
    Type: Application
    Filed: July 3, 2009
    Publication date: April 21, 2011
    Applicant: ULVAC, INC.
    Inventors: Hirohisa Takahashi, Satoru Ishibashi
  • Publication number: 20110089559
    Abstract: A method of producing a semiconductor device is provided, the semiconductor device including a substrate, a semiconductor layer and at least one metallization layer adjacent to at least one element chosen from the substrate and the semiconductor layer, the method including forming at least one metallization layer which, adjacent to at least one element chosen from the substrate and the semiconductor layer, includes oxygen.
    Type: Application
    Filed: October 21, 2009
    Publication date: April 21, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Evelyn SCHEER, Fabio PIERALISI, Marcus BENDER
  • Publication number: 20110092079
    Abstract: A method of producing an anti-reflection and/or passivation coating for semiconductor devices is provided. The method includes: providing a semiconductor device precursor 30 having a surface to be provided with the anti-reflection and/or passivation coating; treating the surface with ions; and depositing a hydrogen containing anti-reflection and/or passivation coating onto the treated surface.
    Type: Application
    Filed: October 22, 2009
    Publication date: April 21, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Nicolas AURIAC, Roland TRASSL
  • Patent number: 7927466
    Abstract: The present invention relates to the deposition in a magnetron reactor (1) equipped with a magnetron cathode (MC) of at least one material on a substrate (11a), according to which process said material is vaporized by magnetron sputtering, using a gas that is ionized in pulsed mode. To this effect and in order to favour the formation of high current pulses of short duration while avoiding the formation of electric arcs and while enabling an effective ionisation of the sputtered vapour, a preionization of the said gas prior to the application of the main voltage pulse on the magnetron cathode (MC) is carried out in order to generate current pulses (CP) whose decay time (Td), after cut-off of the main voltage pulse (VP) is shorter than 5 ?s.
    Type: Grant
    Filed: September 22, 2006
    Date of Patent: April 19, 2011
    Assignees: Material Nova ASBL, Centre National De La Recherche Scientifique (CNRS), Universite Paris-Sud
    Inventors: Mihai Ganciu-Petcu, Michel Hecq, Jean-Pierre Dauchot, Stephanos Konstantinidis, Jean Bretagne, Ludovic De Poucques, Michel Touzeau
  • Publication number: 20110081503
    Abstract: A method of integrating a fluorine-based dielectric with a metallization scheme is described. The method includes forming a fluorine-based dielectric layer on a substrate, forming a metal-containing layer on the substrate, and adding a buffer layer or modifying a composition of the fluorine-based dielectric layer proximate an interface between the fluorine-based dielectric layer and the metal-containing layer.
    Type: Application
    Filed: October 6, 2009
    Publication date: April 7, 2011
    Inventors: Jianping ZHAO, Lee CHEN
  • Publication number: 20110081732
    Abstract: A method of manufacturing a magnetic tunnel junction device includes a barrier layer forming step of forming a tunnel barrier layer. The barrier layer forming step comprises a step of depositing a first metal layer, an oxygen surfactant layer forming step of forming an oxygen surfactant layer on the first metal layer, a step of deposing a second metal layer above the first oxygen surfactant layer, and an oxidation step of oxidizing the first metal layer and the second metal layer to form a metal oxide layer.
    Type: Application
    Filed: August 31, 2010
    Publication date: April 7, 2011
    Applicant: CANON ANELVA CORPORATION
    Inventor: Young-suk CHOI
  • Publication number: 20110062028
    Abstract: An apparatus for electroplating one or more surfaces (2,3) on one or more substrates (1), especially solar cells (1a), is described. The apparatus includes an electrochemical coating bath (13), which has a coating tank (12) filled with an electrochemical coating liquid (14). The apparatus also includes a conveying device (15) for transporting the substrate through the coating bath (13), a light source circuit (60) with light sources (64) for irradiating the substrate (1) and an electrolytic cell rectifier circuit (50) for the substrate with anodes (54). The apparatus is characterized by a device for generating synchronous current pulses and light pulses, so that during a time interval between the current pulses the irradiating of the substrate or substrates is interrupted. A process for electrochemical plating of the surface of the substrate or substrates is also described.
    Type: Application
    Filed: September 16, 2010
    Publication date: March 17, 2011
    Inventors: Lothar Lippert, Stefan Dauwe
  • Publication number: 20110056830
    Abstract: A sputtering type deposition apparatus includes a chamber device and a target. The chamber device defines an access chamber, a first entrance from exterior to the access chamber, a depositing chamber, and a second entrance from the access chamber to the depositing chamber. The chamber device includes an access door, a separating door, and a carrier. The access door is disposed at the first entrance and configured to open or close the first entrance. The separating door is disposed at the second entrance and configured to open or close the second entrance. The carrier is disposed in the access chamber and configured to carry a substrate from the access chamber to the depositing chamber. The target is received in the depositing chamber.
    Type: Application
    Filed: April 25, 2010
    Publication date: March 10, 2011
    Applicant: HON HAI PRECISION INDUSTRY CO., LTD.
    Inventors: Chung-Pei Wang, Chia-Ying Wu
  • Publication number: 20110048924
    Abstract: A plasma ignition system includes a first voltage supply that selectively supplies a plasma ignition voltage and a plasma maintenance voltage across an adapter ring and a cathode target of a physical vapor deposition (PVD) system. A second voltage supply selectively supplies a second voltage across the adapter ring and an anode ring of the PVD system. A plasma ignition control module ignites plasma using the plasma ignition voltage and the auxiliary plasma ignition voltage and, after the plasma ignites, supplies the plasma maintenance voltage and ceases supplying the plasma ignition voltage and the auxiliary plasma ignition voltage.
    Type: Application
    Filed: August 31, 2009
    Publication date: March 3, 2011
    Applicant: Novellus Systems Inc.
    Inventors: Martin Freeborn, Vince Burkhart
  • Publication number: 20110048515
    Abstract: A solar cell module layer stack is described. The layer stack includes a doped silicon wafer substrate, a back contact layer for the solar cell module, and a first sputtered and annealed passivation layer between the wafer substrate and the back contact layer, wherein the passivation layer is selected from the group consisting of: an aluminum containing oxide layer, an aluminum containing nitride layer, an aluminum containing oxynitride layer, and mixtures thereof.
    Type: Application
    Filed: September 8, 2009
    Publication date: March 3, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Manfred ENGLERT, Sven SCHRAMM, Roland TRASSL
  • Publication number: 20110042208
    Abstract: A film forming source capable of forming a thin film having a good film quality is provided. Since each switch valve becomes a closed state when a blocking member closely contacts a melted metal, a gas blocking performance in the closed state is high, and no dust is generated. When vapors of different vapor deposition materials are generated in a plurality of vapor generating units, the vapor generated in a selected vapor generating unit is not mixed with the vapor from another vapor deposition apparatus. Therefore, a vapor deposition material not to be film-formed is not mixed in, and contamination due to dust generation does not occur. Consequently, a thin film having good film quality can be obtained.
    Type: Application
    Filed: August 24, 2010
    Publication date: February 24, 2011
    Applicant: ULVAC, INC.
    Inventors: Toshio Negishi, Tatsuhiko KOSHIDA
  • Publication number: 20110027597
    Abstract: A target includes: at least one refractory metal element selected from the group consisting of Ti, Zr, Hf, V, Nb, Ta, and lanthanoids; at least one element selected from the group consisting of Al, Ge, Zn, Co, Cu, Ni, Fe, Si, Mg, and Ga; and at least one chalcogen element selected from the group consisting of S, Se, and Te.
    Type: Application
    Filed: July 19, 2010
    Publication date: February 3, 2011
    Applicant: SONY CORPORATION
    Inventors: Kazuhiro Ohba, Yuichi Kamori, Hitoshi Kimura
  • Publication number: 20100326816
    Abstract: The invention provides methods and equipment for depositing a low-maintenance coating.
    Type: Application
    Filed: September 3, 2010
    Publication date: December 30, 2010
    Applicant: CARDINAL CG COMPANY
    Inventors: Kari B. Myli, Annette J. Krisko, John German, Klaus Hartig
  • Publication number: 20100330787
    Abstract: Methods and devices for forming an ultra-thin doping layer in a semiconductor substrate include introducing a thin film of a dopant onto a surface of the substrate and driving at least a portion of the thin dopant layer into a surface of the semiconductor. Gas ions used in the driving-in process may be inert to minimize contamination during the drive in process. The thin films can be deposited using know methods, such as physical deposition and atomic layer deposition. The dopant layers can be driven into the surface of the semiconductor using known techniques, such as pulsed plasma discharge and ion beam. In some embodiments, a standard ion implanter can be retrofit to include a deposition source.
    Type: Application
    Filed: August 17, 2007
    Publication date: December 30, 2010
    Inventor: Piero Sferlazzo
  • Publication number: 20100322840
    Abstract: A method of producing hard wear resistant layer with improved wear resistance. The method is a reactive arc-evaporation based process using a cathode including as main constituent at least one phase of a refractory compound Mn+1AXn (n=1, 2 or 3), wherein M is one or more metals selected from the groups IIIB, IVB, VB, VIIB and VIIB of the periodic table of elements, A is one or more elements selected from the groups IIIA, IVA, VA and VIA of the periodic table of elements, and wherein X is carbon and/or nitrogen.
    Type: Application
    Filed: September 17, 2008
    Publication date: December 23, 2010
    Applicant: SECO TOOLS AB
    Inventors: Jens-Petter Palmqvist, Jacob Sjolen, Lennart Karlsson
  • Publication number: 20100320456
    Abstract: The present invention is directed to methods for depositing doped and/or alloyed semiconductor layers, an apparatus suitable for the depositing, and products prepared therefrom.
    Type: Application
    Filed: June 19, 2009
    Publication date: December 23, 2010
    Applicant: EPV Solar, Inc.
    Inventors: Alan E. DELAHOY, Gaurav SARAF, Sheyu GUO
  • Publication number: 20100310902
    Abstract: In a method of manufacturing a magneto-resistance element having a multi-layer film including magnetic layers, TaOx generated on the surface of the Ta mask is prevented from peeling off when etching is performed on the multi-layer film using an etching gas containing oxygen atoms. When a Ta mask which is used at the time of dry etching performed on the multi-layer film including magnetic layers with an etching gas containing oxygen atoms is formed by sputtering, the Ar gas pressure is set to be 0.1 Pa to 0.4 Pa.
    Type: Application
    Filed: June 21, 2010
    Publication date: December 9, 2010
    Applicant: CANON ANELVA CORPORATION
    Inventors: Tomoaki Osada, Naoko Matsui, Yoshimitsu Kodaira, Koji Tsunekawa
  • Publication number: 20100300875
    Abstract: A magnetic particle trapper for use in a sputtering system includes a roller cover plate having a plurality of openings arranged and dimensioned to accommodate a plurality of rollers associated with a mechanical transport mechanism of the sputtering system, and a plurality of magnets to trap magnetic particles, the plurality of magnets being attached to the roller cover plate in locations proximate to the plurality of openings.
    Type: Application
    Filed: May 28, 2009
    Publication date: December 2, 2010
    Applicant: WD MEDIA, INC.
    Inventors: JINLIANG CHEN, KINYA NIPPA
  • Publication number: 20100282603
    Abstract: A method and apparatus for making a heated substrate support assembly used in a processing chamber is provided. The processing chamber includes a substrate support assembly, having a first plate and a second plate with grooves disposed therein for receiving one or more heating elements, and a power source for heating the substrate support assembly. A first surface of the first plate and a second surface of the second plate include one or more matching structures disposed thereon, such that both plates can be compressed together by isostatic compression and form into a plate-like structure for supporting a substrate during substrate processing. In another embodiment, the first and second plates are compressed by applying pressure all around. In still another embodiment, compressing the first and second plates is performed at elevated temperature.
    Type: Application
    Filed: July 28, 2010
    Publication date: November 11, 2010
    Inventors: William N. Sterling, John M. White
  • Publication number: 20100279462
    Abstract: An amorphous oxide containing hydrogen (or deuterium) is applied to a channel layer of a transistor. Accordingly, a thin film transistor having superior TFT properties can be realized, the superior TFT properties including a small hysteresis, normally OFF operation, a high ON/OFF ratio, a high saturated current, and the like. Furthermore, as a method for manufacturing a channel layer made of an amorphous oxide, film formation is performed in an atmosphere containing a hydrogen gas and an oxygen gas, so that the carrier concentration of the amorphous oxide can be controlled.
    Type: Application
    Filed: July 9, 2010
    Publication date: November 4, 2010
    Applicant: CANON KABUSHIKI KAISHA
    Inventor: Tatsuya Iwasaki
  • Publication number: 20100270062
    Abstract: The system contains a substrate having at least one electrical trace formed thereon. An opening is formed in the substrate. The opening comprising at least one wall. An electrically conductive fill is formed in the opening. The electrically conductive fill is chemically bonded to the wall and electrically contacted with the electrical trace.
    Type: Application
    Filed: March 23, 2010
    Publication date: October 28, 2010
    Applicant: ULTRASOURCE, INC.
    Inventors: Michael Casper, Craig Hare, Adam Cook
  • Publication number: 20100267549
    Abstract: A method is provided for producing particles, such as nanoparticles. The method includes introducing an ionic liquid into a deposition chamber, and directing one or more material toward or depositing one or more materials onto the ionic liquid by physical vapor deposition to form nanoparticles in the ionic liquid.
    Type: Application
    Filed: January 17, 2007
    Publication date: October 21, 2010
    Inventor: James J. Finley
  • Publication number: 20100264017
    Abstract: A method for depositing a ceramic thin film by sputtering is provided to increase deposition rate of the ceramic thin film and to enhance the uniformity of a deposited thin film, which are accomplished by positioning a nonconductive target within a vacuum chamber, and applying an AC/RF power to the target to produce plasma within the chamber, followed by the application of a hybrid power in combination of an AC/RF power and a DC power to the target to proceed a sputtering process inside the vacuum chamber, such that the ceramic thin film is deposited on a substrate placed in the vacuum chamber.
    Type: Application
    Filed: July 24, 2008
    Publication date: October 21, 2010
    Inventors: Sang-Cheol Nam, Ho-Young Park, Young-Chang Lim, Ki-Chang Lee, Kyu-Gil Choi, Ho-Sung Hwang, Gi-Back Park
  • Publication number: 20100258437
    Abstract: Provided is a reactive sputtering apparatus, and more particularly, a reactive sputtering apparatus capable of effectively ionizing a reactive gas using inductively coupled plasma (ICP). The reactive sputtering apparatus includes: a chamber having an inlet port for introducing a plasma gas thereinto and an outlet port for exhausting the gas used during reactive sputtering to the exterior; an ICP generator disposed on the chamber, ionizing a reactive gas, and injecting the ionized gas into the chamber; and at least one sputter gun located at a side surface of the chamber and supporting a target. Therefore, the reactive sputtering apparatus can improve an ionization rate of a reactive gas using inductively coupled plasma to reduce a process temperature and improve uniformity and step coverage of thin film deposition at low cost.
    Type: Application
    Filed: September 2, 2008
    Publication date: October 14, 2010
    Inventors: Woo Seok Cheong, Chi Sun Hwang, Min Ki Ryu
  • Patent number: 7811429
    Abstract: The invention relates to a target support assembly (1) comprising a support (2), on which a target lining is arranged. In order to simplify the production of the target lining or of the target support assembly and/or the placement of the target lining on the support, the target lining is formed by a target sleeve (4) that is slid onto the support (2). At least one clamping element (5) is placed, while actively clamping, between the support (2) and the target sleeve (4).
    Type: Grant
    Filed: June 20, 2003
    Date of Patent: October 12, 2010
    Assignee: Interpane Entwicklungs - und Beratungsgesellschaft mbH & Co., KG
    Inventors: Ralf Landgraf, Wilhelm Herwig
  • Publication number: 20100242843
    Abstract: Tooling systems including a mandrel for receiving, and providing shape to, a metallic deposit applied using a high temperature additive manufacturing device; a metallic cladding applied to the mandrel for reducing contamination of the metallic deposit; and at least one cooling channel associated with the mandrel for removing heat from the system.
    Type: Application
    Filed: March 24, 2009
    Publication date: September 30, 2010
    Inventors: Michael W. Peretti, Timothy Trapp
  • Publication number: 20100215848
    Abstract: In the case of the treatment installation for the vacuum treatment, in particular vacuum coating, of a front side of substrates in strip form in a first process chamber with a first process roller and at least one process source and a second process chamber with a second process roller and at least one process source, it is provided that there is a transfer chamber which is arranged between the first and second process chambers, is coupled with both process chambers and can be separated in terms of pressure from at least one of the process chambers and an unwinding device with a removable unwinding reel and a winding-up device with a removable winding-up reel for the substrate to be treated as well as an outside air lock for loading and unloading the unwinding reel and/or winding-up reel.
    Type: Application
    Filed: February 26, 2008
    Publication date: August 26, 2010
    Applicant: LEYBOLD OPTICS GMBH
    Inventors: Wolfgang Fukarek, Bontscho Bontschew
  • Publication number: 20100206843
    Abstract: The present invention relates to a method and an arrangement for restoring strength and wear resistant of a metallic matrix ceramic (1) comprising a metallic binder (2) and ceramic filler (3) particles, which metallic matrix ceramic (1) has been exposed for long term high temperature and pressure cycling, for example in a gas exhaust nozzle (6), whereby micro cracks (4) are developed in the outer layer (5) of the metallic binder (2) According to the invention this is achieved by virtue of the fact that the outer layer (5) of the metallic binder (2), partly or fully, is removed from the MMC part (1) by a chemical operation, where after the outer layer (5) is compressed by a compression operation for achieving a dense outer layer (5), in which filler (3) particles are close to each other.
    Type: Application
    Filed: December 10, 2009
    Publication date: August 19, 2010
    Applicant: SAAB AB
    Inventor: Håkan Strömberg
  • Publication number: 20100206713
    Abstract: Methods and apparatus for sputtering a target material, such as PZT, can include positioning a conductive grid between a target and a substrate. The target, the substrate, and a sputtering gas can be contained in a chamber, and power of a first RF source can be applied so as to maintain a plasma in the chamber. Power of a second RF source can be applied to the conductive grid. Target material can be sputtered from the target onto the substrate. Positioning of the conductive grid and application of power by the second RF source can affect properties of sputter deposition of the target material. For example, the second RF source and the conductive grid can be part of a capacitive circuit configured such that voltage change in the capacitive circuit affects properties of the sputtering gas and, in turn, properties of a sputter deposition process.
    Type: Application
    Filed: February 19, 2009
    Publication date: August 19, 2010
    Inventors: Youming Li, Jeffrey Birkmeyer
  • Publication number: 20100208005
    Abstract: When a film containing constituent elements of a target is formed on a substrate through a vapor deposition process using plasma with placing the substrate and the target to face to each other, the film is formed with surrounding the substrate with a wall surface having the constituent elements of the target adhering thereto, and applying a physical treatment to the wall surface to cause the components adhering to the wall surface to be released into the film formation atmosphere.
    Type: Application
    Filed: February 17, 2010
    Publication date: August 19, 2010
    Inventors: Takamichi FUJII, Takayuki Naono
  • Publication number: 20100187104
    Abstract: An object of the present invention is to provide a film formation apparatus capable of easily forming a film with even thickness and being excellent in mass productivity. Therefore, the film formation apparatus is provided with a substrate holder 2 having a plurality of substrate retaining portions 7 and a film forming evaporation source 3. The film forming evaporation source 3 includes a cylindrical target 11, on a surface of the cylindrical target 11 an erosion area in a shape having two straight line portions in the parallel direction to a center axis of the cylindrical target and arc portions connecting both ends of these straight line portions is formed, and film formation particles are evaporated from this erosion area to the outside in the radial direction of the cylindrical target 11.
    Type: Application
    Filed: April 30, 2008
    Publication date: July 29, 2010
    Applicant: Kabushiki Kaisha Kobe Seiko Sho(Kobe Steel, Ltd.)
    Inventor: Hiroshi Tamagaki
  • Publication number: 20100181187
    Abstract: A charged particle beam PVD device is provided, including a target of coating material inside of a casing, a vapor aperture provided in the casing, and a shielding device provided adjacent to the vapor aperture, the shielding device being on floating potential.
    Type: Application
    Filed: January 16, 2009
    Publication date: July 22, 2010
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Joerg KREMPEL-HESSE, Juergen GRILLMAYER, Uwe HERMANNS
  • Publication number: 20100181706
    Abstract: The invention relates in general level to radiation transference techniques as applied for utilisation of material handling. The invention relates to a radiation source arrangement comprising a path of radiation transference, or an improved path of radiation transference, which path comprises a turbine scanner or an improved turbine scanner. The invention also concerns a target material suitable for vaporization and/or ablation. The invention concerns an improved turbine scanner. The invention concerns also to a vacuum vaporization/ablation arrangement that has a radiation source arrangement according to invention. The invention concerns also a target material unit, to be used in coating and/or manufacturing target material.
    Type: Application
    Filed: July 13, 2006
    Publication date: July 22, 2010
    Inventors: Jari Ruuttu, Reijo Lappalainen, Vesa Myllymäeki, Lasse Pulli, Juha Mäkitalo
  • Publication number: 20100175989
    Abstract: A deposition system is provided to avoid cross contamination in each layer formed in a manufacturing process of organic electroluminescent device and the like, and to reduce footprint and to enhance productivity. Provided is a deposition apparatus 13 for forming a film on a substrate G, which includes a first deposition mechanism 35 for forming a first layer in a processing chamber 30, and a second deposition mechanism 36 for forming a second layer in the processing chamber 30. The first deposition mechanism 35 includes: a nozzle 34 disposed at an inside of the processing chamber 30, for supplying vapor of a deposition material to the substrate; a vapor generator 45 disposed at an outside of the processing chamber, for generating the vapor of the deposition material; and a line for transporting the vapor of the deposition material generated from the vapor generator 45 to the nozzle 34.
    Type: Application
    Filed: August 8, 2007
    Publication date: July 15, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Kazuki Moyama, Toshihisa Nozawa
  • Publication number: 20100173174
    Abstract: A magnetic device manufacturing apparatus that increases the unidirectional anisotropy constant (JK). A substrate (S) is placed in a substrate holder (24) in a film formation area (21a), the substrate (S) is heated to a predetermined temperature, and the processing pressure is reduced to 0.1 (Pa) or lower. A target (T2) of which a main component is an element forming the antiferromagnetic layer is sputtered with at least either one of Kr and Xe to form an antiferromagnetic layer. The antiferromagnetic layer includes an L12 ordered phase expressed by compositional formula Mn100-X-MX (where M is at least one element selected from the group consisting of Ru, Rh, Ir, and Pt, and X is 20(atom %)?X?30(atom %)).
    Type: Application
    Filed: May 28, 2008
    Publication date: July 8, 2010
    Inventor: Kenichi Imakita
  • Publication number: 20100167543
    Abstract: A method for manufacturing a semiconductor power device may includes: performing a grinding process on a back side of a wafer, performing a first plasma process and a rapid thermal process sequentially after performing the grinding process, performing a second plasma process after performing the rapid thermal process, and performing a metal thin film process after performing the second plasma process. The method for manufacturing a semiconductor device may be capable of preventing a peeling effect from occurring on a wafer surface by removing hydrogen from the wafer surface by controlling surface roughness to a desired level by treating the wafer surface using hydrogen plasma and a rapid thermal process (RTP) after subjecting a backside of the wafer to a grinding process.
    Type: Application
    Filed: December 9, 2009
    Publication date: July 1, 2010
    Inventor: Gwan-Ha Kim
  • Publication number: 20100155231
    Abstract: Disclosed are method and apparatus for manufacturing a magnetoresistive device which are suitable for manufacturing a high-quality magnetoresistive device by reducing damages caused during the processing of a multilayer magnetic film as a component of the magnetoresistive device, thereby preventing deterioration of magnetic characteristics due to such damages. Specifically disclosed is a method for manufacturing a magnetoresistive device, which includes processing a multilayer magnetic film by performing a reactive ion etching on a substrate which is provided with the multilayer magnetic film as a component of the magnetoresistive device. This method for manufacturing a magnetoresistive device includes irradiating the multilayer magnetic film with an ion beam after the reactive ion etching.
    Type: Application
    Filed: September 13, 2006
    Publication date: June 24, 2010
    Applicant: Canon ANELVA Corporation
    Inventors: Naoki Watanabe, Yoshimitsu Kodaira, David D. Djayaprawira, Hiroki Maehara
  • Publication number: 20100155238
    Abstract: Apparatus for sputtering comprises a vacuum chamber, at least one first electrode having a first surface arranged in the vacuum chamber, a counter electrode having a surface arranged in the vacuum chamber and a RF generator. The RF generator is configured to apply a RF electric field across the at least one first electrode and the counter electrode so as to ignite a plasma between the first electrode and the counter electrode. The counter electrode comprises at least two cavities in communication with the vacuum chamber. the cavities each have dimensions such that a plasma can be formed in the cavity.
    Type: Application
    Filed: December 22, 2009
    Publication date: June 24, 2010
    Applicant: OC OERLIKON BALZERS AG
    Inventors: Jürgen Weichart, Heinz Felzer