Coating Patents (Class 204/298.02)
  • Publication number: 20090145744
    Abstract: Even in the application of a highly cohesive metal to a surface of treatment object having recesses of high aspect ratio, a continuous thin-film can be formed.
    Type: Application
    Filed: November 29, 2005
    Publication date: June 11, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Naoki Yoshii, Yasuhiko Kojima
  • Publication number: 20090148599
    Abstract: A vacuum coating unit includes a reactive gas inlet, at least one PVD coating source with a laminar cathode and a substrate carrier containing a multiplicity of substrates. The substrate carrier forms a two dimensional horizontal extent, and the carrier is between at least two PVD coating sources. The substrates are cutting tools with at least one cutting edge in their peripheral margin region, which are distributed in a plane of the two dimensional extent of the substrate carrier. The substrate carrier is in a horizontal plane in the vacuum process chamber spaced between the laminar cathodes of the PVD coating sources and positioned such that at least a portion of each of the at least one cutting edge includes an active cutting edge and this active cutting edge is oriented opposite at least one of the cathodes of the PVD coating sources exposed at any time along a line of sight.
    Type: Application
    Filed: November 13, 2008
    Publication date: June 11, 2009
    Inventors: JUERGEN RAMM, CHRISTIAN WOHLBAB
  • Publication number: 20090145745
    Abstract: A method and system for depositing a thin film on a substrate. In the system a target material is deposited and reacted on a substrate surface to form a substantially non-absorbing thin film. The volume of non-absorbing thin film formed per unit of time may be increased by increasing the area of the surface by a factor of “x” and increasing the rate of deposition of the target material by a factor greater than the inverse of the factor “x” to thereby increase the rate of formation of the volume of non-absorbing thin film per unit of time.
    Type: Application
    Filed: October 27, 2008
    Publication date: June 11, 2009
    Inventors: Norman L. Boling, Miles Rains, Howard Robert Gray
  • Publication number: 20090137128
    Abstract: Disclosed is a substrate processing apparatus including: a reaction tube to accommodate at least one substrate; at least a pair of electrodes disposed outside the reaction tube; and a dielectric member, wherein a plasma generation region is formed at least in a space between an inner wall of the reaction tube and an outer circumferential edge of the substrate, the member includes a main face extending in a radial direction of the substrate and in a substantially entire circumferential direction of the substrate in a horizontal plane parallel to a main face of the substrate, and is disposed in an outer circumferential region of the substrate, and gas activated in the plasma generation region is supplied through a surface region of the main face of the member to the substrate.
    Type: Application
    Filed: April 27, 2006
    Publication date: May 28, 2009
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Kenmei Ko, Rui Harada, Kazuyuki Toyada, Yuji Takebayashi, Takashi Koshimizu, Takeshi Itoh
  • Publication number: 20090127105
    Abstract: A method of forming (and an apparatus for forming) a metal containing layer on a substrate, particularly a semiconductor substrate or substrate assembly for use in manufacturing a semiconductor or memory device structure, using one or more precursor compounds that include niobium and/or vanadium and using an atomic layer deposition process including a plurality of deposition cycles.
    Type: Application
    Filed: January 12, 2009
    Publication date: May 21, 2009
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Garo J. Derderian, Donald L. Westmoreland, Stefan Uhlenbrock
  • Publication number: 20090127095
    Abstract: A method of the present invention for forming fine particles includes forming fine particles on a substrate by supplying, in the presence of inert gas, to the substrate, atoms or molecules of a supply material capable of being combined with a material constituting a surface of the substrate to produce a compound, the atoms or the molecules being supplied from a supply source. The supply source is positioned in such a manner as not to be directly connected by a line with the surface of the substrate where the fine particles are to be formed, and a high-frequency voltage varying positively and negatively, ranging from 100 kHz to 100 MHz, is applied to at least one of the substrate and a substrate supporter for supporting the substrate. This realizes a method for forming fine particles that allows forming highly uniformed magnetic fine particles with a periodic pattern through a simple process at a time.
    Type: Application
    Filed: November 13, 2008
    Publication date: May 21, 2009
    Applicant: Sharp Kabushiki Kaisha
    Inventors: Noboru Iwata, Yoshiteru Murakami
  • Publication number: 20090130795
    Abstract: The disclosed subject matter relates to the use of laser crystallization of thin films to create epitaxially textured crystalline thick films. In one or more embodiments, a method for preparing a thick crystalline film includes providing a film for crystallization on a substrate, wherein at least a portion of the substrate is substantially transparent to laser irradiation, said film including a seed layer having a predominant surface crystallographic orientation; and a top layer disposed above the seed layer; irradiating the film from the back side of the substrate using a pulsed laser to melt a first portion of the top layer at an interface with the seed layer while a second portion of the top layer remains solid; and re-solidifying the first portion of the top layer to form a crystalline laser epitaxial with the seed layer thereby releasing heat to melt an adjacent portion of the top layer.
    Type: Application
    Filed: November 21, 2008
    Publication date: May 21, 2009
    Applicant: TRUSTEES OF COLUMBIA UNIVERSITY
    Inventor: James S. IM
  • Publication number: 20090130336
    Abstract: A coating apparatus (100) for batch coating of substrates is presented. In the batch coater layers of a stack can be deposited by means of physical vapour deposition, by means of chemical vapour deposition or by a mixture of both processes. When compared to previous apparatus, the mixed mode process is particularly stable. This is achieved by using a rotatable magnetron (112) rather than the prior-art planar magnetrons. The apparatus is further equipped with a rotatable shutter that allows for concurrent or alternating process steps.
    Type: Application
    Filed: March 14, 2007
    Publication date: May 21, 2009
    Inventors: Erik Dekempeneer, Wilmert De Bosscher, Pascal Verheyen
  • Publication number: 20090127101
    Abstract: An apparatus and methods for plasma-based sputtering deposition using a direct current power supply is disclosed. In one embodiment, a plasma is generated by connecting a plurality of electrodes to a supply of current, and a polarity of voltage applied to each of a plurality of electrodes in the processing chamber is periodically reversed so that at least one of the electrodes sputters material on to the substrate. And an amount of power that is applied to at least one of the plurality of electrodes is modulated so as to deposit the material on the stationary substrate with a desired characteristic. In some embodiments, the substrate is statically disposed in the chamber during processing. And many embodiments utilize feedback indicative of the state of the deposition to modulate the amount of power applied to one or more electrodes.
    Type: Application
    Filed: November 16, 2007
    Publication date: May 21, 2009
    Inventors: Ken Nauman, Hendrik V. Walde, David J. Christie, Bruce Fries
  • Publication number: 20090114529
    Abstract: A coating apparatus is revealed that is designed to coat substrates by means of a physical vacuum deposition process or a chemical vacuum deposition process or a combination thereof. Said coating apparatus is particular in that it uses a rotatable magnetron (14) that is coverable with an axially moveable shutter (18). Such an arrangement enables to keep the magnetron target clean or to clean the target in between or even during subsequent coating steps. The shutter further provides for a controllable gas atmosphere in the vicinity of the target. The arrangement wherein the magnetron is centrally placed is described. Substrates are then exposed to the sputtering source from all angles by hanging them on a planetary carousel (24) that turns around the magnetron.
    Type: Application
    Filed: March 14, 2007
    Publication date: May 7, 2009
    Inventors: Erik Dekempeneer, Wilmert De Bosscher, Pascal Verheyen
  • Publication number: 20090098293
    Abstract: A coating system or encapsulation module 1 is coupled with a substrate handling module 2, wherein substrates 3a to be encapsulated and substrates 3b that already have an encapsulation layer stack deposited thereon are handled in a nitrogen atmosphere. The substrate handling module 2 comprises a magazine 4 for storing substrates 3a to be coated and encapsulated substrates 3b. A handling device 5 unloads the substrates 3a to be coated from the magazine 4 and loads encapsulated substrates 3b into the magazine 4. The encapsulation module 1 has a first ink-jet coating chamber 10a. In said first ink-jet coating chamber 10a a photoresist layer is deposited on the substrate 3a by means of an ink-jet printing method. The ink-jet printing method is carried out in an atmosphere of about 10 mbar. Then the substrate 3a is transported into a first CVD (chemical vapor deposition) coating chamber 11a. In the CVD coating chamber 11a a first silicon nitride layer is deposited on the substrate 3a, i.e.
    Type: Application
    Filed: October 15, 2007
    Publication date: April 16, 2009
    Applicant: Applied Materials, Inc.
    Inventors: Uwe Hoffmann, Jose Manuel Dieguez-Campo
  • Patent number: 7513981
    Abstract: A load lock chamber (12) is connected in a front stage of a film forming chamber (11) through a damper and the like. A pipe to which a N2 gas and aeriform or fog-like H2O are supplied is connected to the load lock chamber (12). The pipe is led from a vaporizer (13). Inside the load lock chamber (12), a carrying section 15 on which a wafer (20) is placed is provided, whereas outside the load lock chamber (12), a cooler (14) cooling a carrying section (15) by means of liquid nitrogen is arranged. The temperature of the carrying section 15 is held at, for example, ?4° C.
    Type: Grant
    Filed: March 25, 2005
    Date of Patent: April 7, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Kazutaka Miura, Shozo Noda
  • Publication number: 20090084500
    Abstract: There is disclosed an exhaust processing process of a processing apparatus for processing a substrate or a film, which comprises after the processing of the substrate or the film, introducing a non-reacted gas and/or a by-product into a trap means comprising a filament comprised of a high-melting metal material comprising as a main component at least one of tungsten, molybdenum and rhenium; and processing the non-reacted gas and/or the by-product inside the trap means. This makes it possible to prevent lowering in exhaust conductance, to lengthen the maintenance cycle of the processing apparatus, and to provide a high-quality product (processed substrate or film).
    Type: Application
    Filed: December 2, 2008
    Publication date: April 2, 2009
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Tadashi Sawayama, Yasushi Fujioka, Masahiro Kanai, Shotaro Okabe, Yuzo Kohda, Tadashi Hori, Koichiro Moriyama, Hiroyuki Ozaki, Yukito Aota, Atsushi Koike, Mitsuyuki Niwa, Yasuyoshi Takai, Hidetoshi Tsuzuki
  • Publication number: 20090087936
    Abstract: The present invention provides a deposition method of a multilayered structure composed of a III group nitride compound semiconductor having good crystallinity on a substrate. The multilayered structure comprises at least a buffer layer and an underlying layer from the substrate side, and the buffer layer and the underlying layer are formed by a sputtering method. A deposition temperature of the buffer layer is adjusted to a temperature lower than a deposition temperature of the underlying layer, or the thickness of the buffer layer is adjusted to 5 nm to 500 nm. Furthermore, the multilayered structure comprises at least an underlying layer and a light-emissive layer from the substrate side and the underlying layer is formed by a sputtering method, and the method comprises the step of forming the light-emissive layer by a metal-organic chemical vapor deposition (MOCVD method).
    Type: Application
    Filed: September 13, 2007
    Publication date: April 2, 2009
    Applicant: SHOWA DENKO K.K.
    Inventors: Hisayuki Miki, Kenzo Hanawa, Yasumasa Sasaki
  • Publication number: 20090078374
    Abstract: There is described apparatus and methods for transporting and processing substrates including wafers as to efficiently produce at reasonable costs improved throughput as compared to systems in use today. A key element is the use of a transport chamber along the sides of processing chambers for feeding substrates into a controlled atmosphere through a load lock and then along a transport chamber as a way of reaching processing chambers and then out of the controlled atmosphere following processing in the processing chambers.
    Type: Application
    Filed: December 1, 2008
    Publication date: March 26, 2009
    Applicant: INTEVAC, INC.
    Inventors: Terry Bluck, Kevin P. Fairbairn, Michael S. Barnes, Christopher T. Lane
  • Publication number: 20090065350
    Abstract: A dual-cathode arc plasma source is combined with a computer-controlled bias amplifier to synchronize substrate bias with the pulsed production of plasma. Accordingly, bias can be applied in a material-selective way. The principle has been applied to the synthesis metal-doped diamond-like carbon films, where the bias was applied and adjusted when the carbon plasma was condensing, and the substrate was at ground when the metal was incorporated. In doing so, excessive sputtering by too-energetic metal ions can be avoided while the sp3/sp2 ratio can be adjusted. It is shown that the resistivity of the film can be tuned by this species-selective bias. The principle can be extended to multiple-material plasma sources and complex materials.
    Type: Application
    Filed: September 5, 2008
    Publication date: March 12, 2009
    Applicant: NANOCHIP, INC.
    Inventor: Andre Anders
  • Publication number: 20090057134
    Abstract: A device and method for coating an inside surface of a vessel is provided. In one embodiment, a coating device comprises a power supply and a diode in electrical communication with the power supply, wherein electrodes comprising the diode reside completely within the vessel. The method comprises reversibly sealing electrodes in a vessel, sputtering elemental metal or metal compound on the surface while maintaining the surface in a controlled atmosphere.
    Type: Application
    Filed: September 5, 2007
    Publication date: March 5, 2009
    Inventors: Dean R. Walters, Grantley O. Este
  • Publication number: 20090057133
    Abstract: A device for magnetically enhanced sputtering and plasma deposition includes a plasma source unit and a work piece processing unit in which an anode space and a processing chamber are located in direct communication with each other. Sputtering and reactive gases are provided through an inlet of the processing chamber holding the work piece. Pulsed electric discharges are produced between the magnetron sputtering cathode and the anode, including walls of the anode space. A stationary magnetic mirror trap is provided in the combined vessel by an anode coil surrounding the anode space and another coil mounted at the processing chamber remote from the cathode. A plasma can then flow into the processing chamber suitable for reactive deposition on three-dimensional and large work pieces. A chemisorption filter including filter plates is arranged in the anode space for preventing penetration of the reactive gas into the region at the cathode.
    Type: Application
    Filed: November 24, 2004
    Publication date: March 5, 2009
    Inventor: Vladimir Kouznetsov
  • Patent number: 7498587
    Abstract: An apparatus for generating plasma includes a cathode having an evaporable surface configured to emit a material comprising plasma and macroparticles; oppositely directed output apertures configured to direct the plasma; a filter configured to transmit at least some of the plasma to the output apertures while preventing transmission of at least some of the macroparticles, the filter comprising at least one deflection electrode disposed generally parallel to and facing at least a portion of the evaporable surface; a first element for generating a first magnetic field component having a first polarity between the cathode and the at least one deflection electrode; and a second element for generating a second magnetic field component having a second polarity at the evaporable surface of the cathode that is opposite that of the first polarity such that a low-field region is created between the evaporable surface and the at least one deflection electrode.
    Type: Grant
    Filed: May 1, 2006
    Date of Patent: March 3, 2009
    Assignee: Vapor Technologies, Inc.
    Inventor: Richard P. Welty
  • Patent number: 7497932
    Abstract: The present invention provides an electro-chemical deposition system that is designed with a flexible architecture that is expandable to accommodate future designs and gap fill requirements and provides satisfactory throughput to meet the demands of other processing systems. The electro-chemical deposition system generally comprises a mainframe having a mainframe wafer transfer robot, a loading station disposed in connection with the mainframe, one or more processing cells disposed in connection with the mainframe, and an electrolyte supply fluidly connected to the one or more electrical processing cells. Preferably, the electro-chemical deposition system includes a spin-rinse-dry (SRD) station disposed between the loading station and the mainframe, a rapid thermal anneal chamber attached to the loading station, and a system controller for controlling the electro-chemical deposition process and the components of the electro-chemical deposition system.
    Type: Grant
    Filed: June 27, 2006
    Date of Patent: March 3, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Yezdi Dordi, Donald J. Olgado, Ratson Morad, Peter Hey, Mark Denome, Michael Sugarman, Anna Marie Lloyd, legal representative, Joseph Stevens, Dan Marohl, Ho Seon Shin, Eugene Ravinovich, Robin Cheung, Ashok K. Sinha, Avi Tepman, Dan Carl, George Birkmaier, Mark Lloyd
  • Publication number: 20090050468
    Abstract: An aluminum interconnect metallization for an integrated circuit is controllably oxidized in a pure oxygen ambient with the optional addition of argon. It is advantageously performed as the wafer is cooled from above 300° C. occurring during aluminum sputtering to less than 100° C. allowing the aluminized wafer to be loaded into a plastic cassette. Oxidation may controllably occur in a pass-through chamber between a high-vacuum and a low-vacuum transfer chamber. The oxygen partial pressure is advantageously in the range of 0.01 to 1 Torr, preferably 0.1 to 0.5 Torr. The addition of argon to a total pressure of greater than 1 Torr promotes wafer cooling when the wafer is placed on a water-cooled pedestal. To prevent oxygen backflow into the sputter chambers, the cool down chamber is not vacuum pumped during cooling and first argon and then oxygen are pulsed into the chamber.
    Type: Application
    Filed: August 22, 2007
    Publication date: February 26, 2009
    Applicant: Applied Materials, Inc.
    Inventors: A. MILLER ALLEN, Ashish Bodke, Yong Cao, Anthony C-T Chan, Jianming Fu, Zheng Xu, Yasunori Yokoyama
  • Publication number: 20090045045
    Abstract: A puck for providing a coating material in a cathodic arc coating system has a generally uniform depression formed at the outer periphery. The depression ensures that an arc from the coating apparatus will move uniformly about the outer periphery of the puck, such that a coating cloud will also be uniformly applied to parts to be coated.
    Type: Application
    Filed: August 14, 2007
    Publication date: February 19, 2009
    Inventors: Brian S. Tryon, Michael C. Swift, Michael Dileo
  • Publication number: 20090014316
    Abstract: A deposition apparatus includes a deposition source that produces a deposition flow of a deposited material and has an evaporation source with a material to be deposited therein, and a sputtering source that produces sputtering ions directed at the material to be deposited in the evaporation source. A deposition target is in facing relationship to the deposition source. The sputtering source is operated simultaneously with the evaporation source.
    Type: Application
    Filed: July 9, 2007
    Publication date: January 15, 2009
    Applicant: Raytheon Company
    Inventors: Daniel B. Mitchell, Geoffrey G. Harris
  • Publication number: 20090008240
    Abstract: A method and system for conditioning a vapor deposition target is described. In one illustrative embodiment, a vapor deposition system is operated in which a vapor deposition target is used, the occurrence of electrical arcs in the vapor deposition system is detected, and the vapor deposition target is conditioned by adjusting an output current of a power supply that powers the vapor deposition system and adjusting an interval during which energy is delivered to each arc to deliver substantially the same energy to each arc. In some embodiments, the energy delivered to each arc is approximately equal to the maximum energy that the vapor deposition target can withstand without being damaged. The described method and system significantly reduces the time required to remove impurities from a target and does not require the venting of the vacuum chamber or the removal of the target from the chamber.
    Type: Application
    Filed: September 17, 2008
    Publication date: January 8, 2009
    Inventors: Milan Ilic, Robert B. Huff, George W. McDonough
  • Publication number: 20090010792
    Abstract: A method of fabricating a sputtering target assembly comprises steps of mixing/blending selected amounts of powders of at least one noble or near-noble Group VIII metal at least one Group IVB, VB, or VIB refractory metal; forming the mixed/blended powder into a green compact having increased density; forming a full density compact from the green compact; cutting a target plate slice from the full density compact; diffusion bonding a backing plate to a surface of the target plate slice to form a target/backing plate assembly; and machining the target/backing plate assembly to a selected final dimension. The disclosed method is particularly useful for fabricating large diameter Ru—Ta alloy targets utilized in semiconductor metallization processing.
    Type: Application
    Filed: July 2, 2007
    Publication date: January 8, 2009
    Applicant: HERAEUS INC.
    Inventors: Wuwen Yi, Bernd Kunkel, Carl Derrington, ShinHwa Li, Anand Deodutt
  • Publication number: 20080317965
    Abstract: The plasma processing apparatus for processing a semiconductor substrate using plasma and a method thereof can maintain a steady state simultaneously while maximizing a plasma electron density. The plasma processing apparatus includes: a chamber which generates plasma to process a semiconductor substrate; upper and lower electrodes arranged in the chamber; a DC power-supply unit which applies a DC voltage to either one of the upper and lower electrodes; and a controller which adjusts a power ratio of the DC voltage applied from the DC power-supply unit to either one of the upper and lower electrodes. As a result, the apparatus certainly confines electrons, so that the electrodes are not emitted from the plasma, resulting in a maximized plasma electron density.
    Type: Application
    Filed: April 15, 2008
    Publication date: December 25, 2008
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Gil Su Son, Doug Yong Sung, Tae Yong Kwon, Kyung Chun Lim
  • Publication number: 20080308410
    Abstract: A method is provided for coating a substrate with the aid of a magnetron cathode and two electrodes which are alternately impinged upon by a positive potential and a negative potential. Also disclosed is an assembly for coating a substrate, comprising a vacuum chamber, a magnetron cathode, two electrodes, and a voltage source. A negative potential is generated at a level that is no greater than the level of the cathode potential, thus preventing the electrode that is to be cleaned from being stripped to a greater extent than the same was coated in the previous half-wave. The magnetron cathode and the electrodes are connected to the voltage source via switching elements without being galvanically such that a negative and a positive voltage generated from the voltage source can be alternatively applied to the electrodes, the level of said voltage being no greater than the cathode voltage.
    Type: Application
    Filed: November 6, 2006
    Publication date: December 18, 2008
    Applicant: Von Ardenne Anlagentechnik GmbH
    Inventors: Goetz Teschner, Falk Milde, Enno Mirring, Frank Meissner, Goetz Grosser
  • Publication number: 20080264774
    Abstract: Metal seed layers and/or barrier layers are treated to render them more suitable for subsequent electrochemical deposition of metals thereon. The processes employ thermal techniques to reduce metal oxides that have formed on the surface of the seed layers and/or barrier layers.
    Type: Application
    Filed: April 25, 2007
    Publication date: October 30, 2008
    Applicant: SEMITOOL, INC.
    Inventors: Rajesh Baskaran, Dakin Fulton
  • Publication number: 20080257716
    Abstract: A film is formed at a high rate on the surface of an iron-boron-rare-earth-metal magnet having a given shape, while effectively using dysprosium or terbium as a film-forming material. Thus, productivity is improved and a permanent magnet can be produced at low cost. A permanent magnet is produced through a film formation step in which a film of dysprosium is formed on the surface of an iron-boron-rare-earth-metal magnet of a given shape and a diffusion step in which the magnet coated is subjected to a heat treatment at a given temperature to cause the dysprosium deposited on the surface to diffuse into the grain boundary phase of the magnet.
    Type: Application
    Filed: March 14, 2006
    Publication date: October 23, 2008
    Inventors: Hiroshi Nagata, Yoshinori Shingaki
  • Publication number: 20080257747
    Abstract: A method for producing a conductive polymer film, using an apparatus comprising a prism having a working electrode, a light-emitting means and a light probe disposed on both sides of the prism, a container attached to the prism in a liquid-tight manner with its open side facing the working electrode, an electrolytic solution containing a conductive-polymer-forming monomer and a dopant, which is contained in the container, a counter electrode immersed in the electrolytic solution, a power supply means connected to the working electrode and the counter electrode, and a controller connected to the light probe and the power supply means, the method comprising (1) forming the conductive polymer film on the working electrode by supplying current from the power supply means to the working electrode and the counter electrode, while projecting light to the prism, (2) determining an absorption spectrum from the light reflected by the conductive polymer film, (3) storing the relation between the absorbance of the conduc
    Type: Application
    Filed: May 31, 2007
    Publication date: October 23, 2008
    Applicant: Honda Motor Co., Ltd.
    Inventors: Pu Qian, Kazuhiro Kagawa, Masatoshi Osawa
  • Publication number: 20080257715
    Abstract: The invention relates to a dual Ion Beam Sputtering method for depositing onto a substrate (S) material generated by the sputtering of a target (121-123) by a sputtering ion beam (110), said method comprising the operation of an assistance ion beam (130) directed onto said substrate in order to assist the deposition of material, said method being characterized in that during the operation of said assistance beam said sputtering beam is also operated in association with said assistance beam, and during said operation of the sputtering beam in association with the assistance beam the sputtering beam crosses a desired part of the assistance beam in order to transport contaminants associated to said desired part of the assistance beam away from said substrate.
    Type: Application
    Filed: October 13, 2004
    Publication date: October 23, 2008
    Inventors: Peter Hoghoj, Paraskevi Ntova, Claude Montcalm, Sergio Rodrigues
  • Publication number: 20080248215
    Abstract: The invention relates to a process and to a web deposition machine for coating a plastic substrate with at least one metal layer, in particular plastic foil for flexible, printed circuit boards, wherein before depositing a first layer onto a surface of the plastic substrate to be deposited, a non depositing pretreatment of this surface is performed. It is the object of the invention to provide a process as described above through which the adhesion of metal layers on a plastic substrate is improved. Furthermore, a web deposition machine shall be provided through which such process can be performed. The object is accomplished through a process so that the non depositing pretreatment is performed in two steps, thus in a first step in which the surface of the plastic substrate (2) is cleaned with a non reactive low energy plasma (14), and in a second step in which the surface of the plastic substrate (2) is activated through reactive high energy ion radiation (17).
    Type: Application
    Filed: February 7, 2008
    Publication date: October 9, 2008
    Applicant: Applied Materials, Inc.
    Inventors: Peter Sauer, Hans-Georg Lotz
  • Publication number: 20080230371
    Abstract: A chamber component for a substrate processing system is described. The chamber component comprises a primary member, and a deposit absorbing member coupled to the primary member, wherein the deposit absorbing member comprises a porous material configured to absorb material that is deposited on a surface thereof.
    Type: Application
    Filed: March 22, 2007
    Publication date: September 25, 2008
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: James A. McHugh
  • Publication number: 20080230375
    Abstract: The objective of the present invention is to provide a technique capable of easily forming an alloy layer containing an additive metal on an object to provide a concentration gradient in a thickness direction by sputtering in one treatment vessel. That is, the present invention can form a film with the desired concentration, and includes a first film forming process and a second film forming process that changes at least one of, the pressure in the treatment vessel, and the electric power so they are different from the first film forming process, so that the concentration of the additive metal is different from the concentration of the additive metal of the first alloy film.
    Type: Application
    Filed: February 22, 2008
    Publication date: September 25, 2008
    Inventors: Kaoru Maekawa, Hiroyuki Nagai, Tatsuo Hatano, Takashi Sakuma
  • Publication number: 20080230372
    Abstract: A system for substrate deposition. The system includes a wafer pallet and an anode. The wafer pallet has a bottom and a top. The top of the wafer pallet is configured to hold a substrate wafer. The anode has a substantially fixed position relative to the wafer pallet and is configured to move with the wafer pallet through the deposition chamber. The anode is electrically isolated from the substrate wafer.
    Type: Application
    Filed: March 22, 2007
    Publication date: September 25, 2008
    Inventors: Peter Cousins, Hsin-Chiao Luan, Thomas Pass, John Ferrer, Rex Gallardo, Stephen F. Meyer
  • Publication number: 20080197016
    Abstract: The present invention aims to provide a ZnO thin film deposited substrate and a thin film deposition system exhibiting a specific resistance sufficiently reduced to be useful for transparent electrodes of a liquid crystal display, characterized in that Zn material evaporated and oxidized by microwave oxygen plasma to the compound ZnO which is, in turn, deposited on the substrate and thereby the thin film is formed, and the ZnO thin film deposited on the substrate is exposed to microwave hydrogen plasma so as to reduce a specific resistance of the ZnO thin film and thereby to modify this ZnO thin film to electrically conductive thin film.
    Type: Application
    Filed: February 20, 2007
    Publication date: August 21, 2008
    Applicant: MIKURO DENSHI CORPORATION LIMITED
    Inventors: Tsutomu TAKIZAWA, Takamichi NAKAYAMA, Kunihiro KASHIWAGI, Yuichi SAKAMOTO
  • Publication number: 20080200002
    Abstract: A method for generating metal ions by sputtering a metal target (56) by plasma, attracting the metal ions by bias power to a target object S which is to be processed and is mounted on a mounting table (20) in a processing vessel, and depositing a metal film (74) on the target object having a recess (2) thus filling the recess. The bias power is set to realize such a state as the metal deposition rate by attraction of metal ions is substantially balanced with the etching rate of plasma sputter etching on the surface of the target object. Consequently, the recess in the target object can be filled with metal without causing such a defect as void.
    Type: Application
    Filed: October 18, 2005
    Publication date: August 21, 2008
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Kenji Suzuki, Taro Ikeda, Tatsuo Hatano, Yasushi Mizusawa
  • Publication number: 20080190409
    Abstract: The present invention relates to a glass-ceramic plate intended for example to cover or receive at least one heating element, in particular intended to serve as a cooking plate, said plate having a coating comprising at least two contrasted zones. The invention also relates to the method and device for producing the plate and cooking apparatus including said plate.
    Type: Application
    Filed: May 16, 2006
    Publication date: August 14, 2008
    Applicant: Eurokera S.N.C.
    Inventors: Franck Demol, Pablo Vilato
  • Patent number: 7404879
    Abstract: Provided is an ionized physical vapor deposition (IPVD) apparatus having a helical self-resonant coil. The IPVD apparatus comprises a process chamber having a substrate holder that supports a substrate to be processed, a deposition material source that supplies a material to be deposited on the substrate into the process chamber, facing the substrate holder, a gas injection unit to inject a process gas into the process chamber, a bias power source that applies a bias potential to the substrate holder, a helical self-resonant coil that produces plasma for ionization of the deposition material in the process chamber, one end of the helical self-resonant coil being grounded and the other end being electrically open, and an RF generator to supply an RF power to the helical self-resonant coil. The use of a helical self-resonant coil enables the IPVD apparatus to ignite and operate at very low chamber pressure such as approximately 0.
    Type: Grant
    Filed: September 2, 2004
    Date of Patent: July 29, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yuri Nikolaevich Tolmachev, Dong-joon Ma, Sergiy Yakovlevich Navala, Dae-il Kim
  • Publication number: 20080173536
    Abstract: A vacuum process system for surface-treating work pieces uses an arc evaporation source. The system has a first electrode connected to a DC power source and a second electrode, disposed separately from the arc evaporation source. The two electrodes are operated while being connected to a single pulsed power supply.
    Type: Application
    Filed: March 1, 2006
    Publication date: July 24, 2008
    Inventors: Jürgen Ramm, Beno Widrig, Daniel Lendi, Volker Derflinger, Andreas Reiter
  • Publication number: 20080164146
    Abstract: A sputtering plasma reactors for plasma vapor deposition (PVD) having an improved interface between a PVD target, a ceramic ring and a PVD chamber wall. The reactor includes a PVD chamber wall and a PVD target, wherein the target in conjunction with the PVD chamber wall form a vacuum chamber and wherein at least the portion of the target facing the vacuum chamber is composed of material to be sputtered. The reactor also includes an insulating ceramic ring positioned between the target and the PVD chamber wall. A first O-ring is provided to establish a vacuum seal between the target and the insulating ring and a second O-ring is provided to establish a vacuum seal between the insulating ring and the PVD chamber wall. At least one spacer is positioned between the target and insulating ring to maintain a gap G between the insulating ring and the target.
    Type: Application
    Filed: February 28, 2006
    Publication date: July 10, 2008
    Applicant: TOSOH SMD, INC.
    Inventors: Eugene Y. Ivanov, Erich Theado, Harry W. Conard, John E. Poole
  • Publication number: 20080128013
    Abstract: Embodiments of the invention contemplate the formation of a low cost flexible solar cell using a novel electroplating method and apparatus to form a metal contact structure. The apparatus and methods described herein remove the need to perform one or more high temperature screen printing processes to form conductive features on the surface of a solar cell substrate. The resistance of interconnects formed in a solar cell device greatly affects the efficiency of the solar cell. Solar cell substrates that may benefit from the invention include flexible substrates may have an active region that contains organic material, single crystal silicon, multi-crystalline silicon, polycrystalline silicon, germanium, and gallium arsenide, cadmium telluride, cadmium sulfide, copper indium gallium selenide, copper indium selenide, gallilium indium phosphide, as well as heterojunction cells that are used to convert sunlight to electrical power.
    Type: Application
    Filed: December 1, 2006
    Publication date: June 5, 2008
    Inventors: Sergey Lopatin, David Eaglesham, Charles Gay
  • Publication number: 20080121620
    Abstract: A processing system includes a chamber. A plurality of processing stations in a center region in the chamber can be sequentially positioned when viewed in a first direction. The plurality of processing stations is configured to provide at least one processing step selected from the group consisting of thermal evaporation, thermal sublimation, sputtering, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), ion etching, or sputter etching. A plurality of substrates in the chamber can be sequentially positioned when viewed in the first direction. At least one of the plurality of substrate comprises a receiving surface configured to receive the at least one processing step from the plurality of processing stations.
    Type: Application
    Filed: November 24, 2006
    Publication date: May 29, 2008
    Inventors: G. X. Guo, K. A. Wang
  • Publication number: 20080121514
    Abstract: A deposition system includes a chamber, a plurality of targets in a center region in the chamber and a plurality of substrates in the chamber. The targets are sequentially positioned when viewed in a first direction. At least one of the targets includes a sputtering surface facing outward. The substrates are sequentially positioned when viewed in the first direction. At least one of the substrates includes a deposition surface configured to receive material sputtered off the sputtering surface.
    Type: Application
    Filed: November 24, 2006
    Publication date: May 29, 2008
    Inventors: G. X. Guo, K. A. Wang
  • Publication number: 20080110752
    Abstract: A system and method for sputtering is described. One embodiment includes a sputtering system that includes a vacuum chamber; a gas box secured to the inner surface of the vacuum chamber; a plurality of return conductors engaged with the gas box, the plurality of return conductors extending through the vacuum chamber; and a plurality of seals configured to engage corresponding ones of the plurality of return conductors, the plurality of seal configured to maintain the vacuum inside the vacuum chamber.
    Type: Application
    Filed: November 9, 2006
    Publication date: May 15, 2008
    Inventors: Michael W. Stowell, Manfred Ruske
  • Patent number: 7338581
    Abstract: A sputtering apparatus includes paired targets 31 disposed in a vacuum chamber 30, substrate holder 33 disposed at a position nearly perpendicular to the paired target 31 and apart from a space formed by the paired targets 31, a plasma source 37 for generating reaction plasma by after-glow plasma in the vicinity of the substrate holder 33, and a lead-in pipe 38 which connects the plasma source 37 to the vacuum chamber 30. Since reaction plasma of after-glow plasma can be produced in the vicinity of the substrate holder 33, it is possible to form a thin film of compound close to bulk characteristics at a low substrate temperature without the film being damaged by plasma.
    Type: Grant
    Filed: July 14, 2004
    Date of Patent: March 4, 2008
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Toshinari Noda
  • Publication number: 20080006524
    Abstract: The present invention provides a one-step process for producing and depositing size-selected nanoparticles onto a substrate surface using ultrafast pulsed laser ablation of solid target materials. The system includes a pulsed laser with a pulse duration ranging from a few femtoseconds to a few tens of picoseconds, an optical setup for processing the laser beam such that the beam is focused onto the target surface with an appropriate average energy density and an appropriate energy density distribution, and a vacuum chamber in which the target and the substrate are installed and the background gases and their pressures are appropriately adjusted.
    Type: Application
    Filed: March 2, 2007
    Publication date: January 10, 2008
    Inventors: Bing Liu, Zhendong Hu, Yong Che
  • Patent number: 7314525
    Abstract: A plasma CVD apparatus comprises a reaction container for allowing a reaction for forming a thin film on a semiconductor wafer to be performed, a bias electrode which applies a high frequency bias for sputtering to the semiconductor wafer, a nozzle which supplies SiH4 gas including at least hydrogen into the reaction container, and a control circuit which on/off-controls the high frequency bias through a switch and which on/off-controls the supply of SiH4 gas through a flow rate controller based on an opposite control logic to a high frequency bias control logic.
    Type: Grant
    Filed: July 24, 2002
    Date of Patent: January 1, 2008
    Assignee: Mitsubishi Heavy Industries, Ltd.
    Inventors: Tadashi Shimazu, Masahiko Inoue
  • Publication number: 20070295597
    Abstract: A sputter deposition apparatus and method, and a substrate holder for use with a sputter deposition apparatus is disclosed. According to one embodiment of the invention, a sputter deposition apparatus is provided, including at least one sputter target, a first plasma, a substrate holder, and a further plasma. In one embodiment, the further plasma is an ECWR plasma. According to an additional embodiment of the invention, an anode is provided between the further plasma, and the substrate holder. According to a further embodiment, the substrate holder includes a dielectric layer with varying thickness.
    Type: Application
    Filed: June 23, 2006
    Publication date: December 27, 2007
    Inventor: Klaus Ufert
  • Publication number: 20070295598
    Abstract: In certain embodiments, the invention comprises a backing plate for accommodating large area sputtering targets is disclosed. The backing plate assembly has cavities carved into the back surface of the backing plate. The backing plate may further include cooling channels that run through the backing plate to control the temperature of the backing plate and the target. The cavities may be filled with a material that has a lower density than the backing plate. Additionally, the entire back surface may be covered with the material to produce a smooth surface upon which a magnetron may move during a PVD process.
    Type: Application
    Filed: July 7, 2006
    Publication date: December 27, 2007
    Inventors: Makoto Inagawa, Hienminh Huu Le, Bradley O. Stimson, Akihiro Hosokawa