Masking Of A Substrate Using Material Resistant To An Etchant (i.e., Etch Resist) Patents (Class 216/41)
  • Patent number: 10627305
    Abstract: A water leakage detection system for a laser device detects a water leakage in the laser device including: a part group for outputting laser light; a water cooling plate which cools at least a portion of the part group; an enclosed housing in which the part group and the water cooling plate are stored; and an air circulating unit which circulates air within the housing, the air circulating unit is provided in the vicinity of a part having high heat generation and the water leakage detection system for the laser device includes: a humidity detection unit which detects humidity within the housing; temperature detection units which are arranged in a plurality of places in the water cooling plate and which respectively detect the temperatures of the places; and a detection control unit which outputs detection information when the humidity acquired from the humidity detection unit exceeds reference humidity or when at least one of the temperatures acquired from the temperature detection units exceeds a reference t
    Type: Grant
    Filed: July 27, 2018
    Date of Patent: April 21, 2020
    Assignee: FANUC CORPORATION
    Inventor: Jun Nakashima
  • Patent number: 10620529
    Abstract: Disclosed is a photomask. The photomask comprises a substrate, a reflective layer on the substrate, and an absorption structure on the reflective layer. The absorption structure comprises absorption patterns spaced apart from each other on the reflective layer. The absorption structure may include dummy holes in at least one of the absorption patterns. The dummy holes exhaust hydrogen from the absorption structure. The photomask may include a barrier layer on the absorption structure. The barrier layer may reduce the amount of hydrogen entering the absorption structure.
    Type: Grant
    Filed: May 23, 2017
    Date of Patent: April 14, 2020
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sungwon Kwon, Yongkyu Kim, Jinsu Kim
  • Patent number: 10606170
    Abstract: A template for imprint lithography can include an active area that includes a plurality of tiers including a first tier and a second tier, and a first feature within the first tier or the second tier. In another embodiment, the first and second tiers include features, and the average feature depth or height within the first tier may be substantially the same as or different from the average feature depth or height within the second tier. The template can be used in imprinting a formable layer to form a patterned resist layer over a device substrate that has at least two tiers. The template and its use are well suited for device substrates having exposed surfaces at significantly different elevations, particularly where planarization would be complicated or nearly impossible to implement.
    Type: Grant
    Filed: September 14, 2017
    Date of Patent: March 31, 2020
    Assignee: CANON KABUSHIKI KAISHA
    Inventor: Andrew R. Eckert
  • Patent number: 10593551
    Abstract: A method for manufacturing a reticle for double patterning includes providing a first reticle for a first patterning and a second reticle for a second patterning according to a target pattern, the first reticle having a first mask pattern, and the second reticle having a second mask pattern, the first patterning being performed before the second patterning, and forming a sub-resolution assist feature (SRAF) pattern at a gap of the first mask pattern of the first reticle. The SRAF pattern is covered by the second mask pattern of the second reticle and has a size sufficient large to enable a transfer of the SRAF pattern to a material to be patterned in the first patterning.
    Type: Grant
    Filed: December 10, 2018
    Date of Patent: March 17, 2020
    Assignees: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (BEIJING) CORPORATION, SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
    Inventor: Qing Yang
  • Patent number: 10573669
    Abstract: A method for fabricating an array substrate includes: forming a first metal layer on a base substrate; forming an insulating layer of a silicon-containing organic material on the first metal layer; forming a second metal layer on the insulating layer; patterning the second metal layer by adopting an oxygen ion etching process to partially cover the insulating layer; and forming a silicon oxide layer, by the oxygen ion etching process, on a surface of the insulating layer not covered by the second metal layer.
    Type: Grant
    Filed: August 21, 2018
    Date of Patent: February 25, 2020
    Assignee: BOE TECHNOLOGY GROUP CO., LTD.
    Inventor: Meng Zhao
  • Patent number: 10563309
    Abstract: Disclosed is a method for creating a textured press plate. Initially, a mask may be applied to a surface of a press plate. This mask typically corresponds to a desired physical surface structure. Thereafter, the masked surface of the press plate is processed to obtain the desired physical surface structure. Thereafter, various techniques may be used to create differing degrees of gloss in differing portions of the surface of the press plate. For example, matting may be used to decrease the degree of gloss of a portion of the surface, and polishing may be used to increase the degree of gloss of a portion of the surface.
    Type: Grant
    Filed: October 13, 2016
    Date of Patent: February 18, 2020
    Assignee: Kings Mountain International, Inc.
    Inventor: Stephen Wagenknight
  • Patent number: 10553431
    Abstract: The present disclosure relates to a method of performing a semiconductor fabrication process. The method may be performed by forming a spacer material having vertically extending segments along sidewalls of a masking layer and a horizontally extending segment connecting the vertically extending segments. A cut material is formed over a part of the horizontally extending segment, and the horizontally extending segment of the spacer material not covered by the cut material is removed. A layer under the masking layer is patterned according to the masking layer and the spacer material.
    Type: Grant
    Filed: September 6, 2017
    Date of Patent: February 4, 2020
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Kuan-Wei Huang, Chia-Ying Lee, Ming-Chung Liang
  • Patent number: 10514598
    Abstract: Vacuum-integrated photoresist-less methods and apparatuses for forming metal hardmasks can provide sub-30 nm patterning resolution. A metal-containing (e.g., metal salt or organometallic compound) film that is sensitive to a patterning agent is deposited on a semiconductor substrate. The metal-containing film is then patterned directly (i.e., without the use of a photoresist) by exposure to the patterning agent in a vacuum ambient to form the metal mask. For example, the metal-containing film is photosensitive and the patterning is conducted using sub-30 nm wavelength optical lithography, such as EUV lithography.
    Type: Grant
    Filed: August 30, 2017
    Date of Patent: December 24, 2019
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Jeffrey Marks, George Andrew Antonelli, Richard A. Gottscho, Dennis M. Hausmann, Adrien LaVoie, Thomas Joseph Knisley, Sirish K. Reddy, Bhadri N. Varadarajan, Artur Kolics
  • Patent number: 10511282
    Abstract: A crystal-oscillating device is disclosed with a reduction in size and a favorable Q value. The crystal-oscillating device includes a first packaging material; a crystal resonator mounted on the first packaging material; joining members that join the first packaging material to the crystal resonator; a first sealing frame for joining the second and third packaging materials, the first packaging material, and the second packaging material; and a second sealing frame for joining the second packaging material and the third packaging material to each other. Preferable, the second packaging material is formed in a frame shape to surround an outer peripheral edge of the crystal resonator, and the second packaging material and a crystal substrate of the crystal resonator are formed from the same crystal substrate.
    Type: Grant
    Filed: October 10, 2016
    Date of Patent: December 17, 2019
    Assignee: MURATA MANUFACTURING CO., LTD.
    Inventors: Isao Ikeda, Jinya Furui
  • Patent number: 10510911
    Abstract: The physical and chemical properties of surfaces can be controlled by bonding nanoparticles, microspheres, or nanotextures to the surface via inorganic precursors. Surfaces can acquire a variety of desirable properties such as antireflection or reflection, antifogging, antifrosting, UV blocking, and IR absorption, while maintaining transparency to visible light. Micro or nanomaterials can also be used as etching masks to texture a surface and control its physical and chemical properties via its micro or nanotexture.
    Type: Grant
    Filed: October 3, 2018
    Date of Patent: December 17, 2019
    Assignee: NANOCLEAR TECHNOLOGIES INC.
    Inventors: Harold Frank Greer, Scott S. Harried, Ryan Morrow Briggs, Tony Lee
  • Patent number: 10504942
    Abstract: The present disclosure provides a method of manufacturing an array substrate and a method of manufacturing a display device. The method of manufacturing an array substrate includes steps of: forming a planarization layer above a base substrate; forming an electrode layer above the planarization layer; forming a metal functional layer above the electrode layer; patterning the metal functional layer by using a multi-greyscale mask layer as a mask; forming an insulating protection layer on a portion of the electrode layer not being covered by the patterned metal functional layer; performing an ashing process on the multi-greyscale mask layer; patterning the electrode layer by using the metal functional layer as a mask; further patterning the metal functional layer by using the multi-greyscale mask layer subjected to the ashing process as a mask.
    Type: Grant
    Filed: March 22, 2018
    Date of Patent: December 10, 2019
    Assignee: BOE TECHNOLOGY GROUP CO., LTD.
    Inventors: Haixu Li, Zhanfeng Cao, Qi Yao
  • Patent number: 10478984
    Abstract: A method for forming a cutting tool includes masking a metal base with one or more masks, the one or more masks including at least one variable permeability mask, and chemically etching the masked metal base to form a blade of the cutting tool.
    Type: Grant
    Filed: December 18, 2017
    Date of Patent: November 19, 2019
    Assignee: Hutchinson Technology Incorporated
    Inventors: Paul V. Pesavento, Peter F. Ladwig, Michael W. Davis, John A. Theget, Kurt C. Swanson, Joel B. Michaletz, Philip W. Anderson, Timothy A. McDaniel
  • Patent number: 10453685
    Abstract: The invention relates to a method of forming a semiconductor device by patterning a substrate by providing an amorphous silicon layer on the substrate and forming a hard mask layer on the amorphous silicon layer. The amorphous silicon layer is provided with an anti-crystallization dopant to keep the layer amorphous at increased temperatures (relative to not providing the anti-crystallization dopant). The hard mask layer may comprise silicon and nitrogen.
    Type: Grant
    Filed: March 31, 2017
    Date of Patent: October 22, 2019
    Assignee: ASM IP Holding B.V.
    Inventors: Kelly Houben, Steven R. A. Van Aerde, Maarten Stokhof, Bert Jongbloed, Dieter Pierreux, Werner Knaepen
  • Patent number: 10436964
    Abstract: Provided is an inorganic polarizing plate having a wire grid structure including: a transparent substrate; and grid-shaped protrusions arranged on the transparent substrate at a pitch shorter than a wavelength of light in a use band, in which the grid-shaped-protrusion includes, in order from the transparent substrate side, a reflection layer and a reflection suppressing layer which includes a dielectric material and a non-dielectric material and of which a content of the non-dielectric material increases as a separation from the reflection layer increases. In addition, provided are a method of manufacturing the inorganic polarizing plate and an optical instrument including the inorganic polarizing plate.
    Type: Grant
    Filed: February 5, 2018
    Date of Patent: October 8, 2019
    Assignee: Dexerials Corporation
    Inventors: Akio Takada, Seiji Kumagai, Hideto Sagawa, Takahiro Kimura, Kazuyuki Shibuya, Toshiaki Sugawara, Shigeshi Sakakibara, Yusuke Matsuno
  • Patent number: 10395941
    Abstract: A self-aligned double patterning (SADP) method is disclosed. The method may include forming a mandrel over an underlying layer, and undercutting the mandrel forming an undercut space under opposing sides of the mandrel. A pair of spacers may be formed adjacent the mandrel, each spacer including a vertical spacer portion on each side of the mandrel and an undercut spacer portion extending into the undercut space from the vertical spacer portion, the undercut spacer portions defining a sub-lithographic lateral dimension therebetween. The mandrels may be removed and, a sub-lithographic feature etched into at least the underlying layer using the spacers.
    Type: Grant
    Filed: August 21, 2018
    Date of Patent: August 27, 2019
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Ravi P. Srivastava, Hsueh-Chung Chen
  • Patent number: 10395943
    Abstract: To provide a patterning method for forming a desired pattern in a reverse process. A patterning method includes a reverse process. A photocurable composition contains at least a polymerizable compound (A) component and a photopolymerization initiator (B) component. The (A) component has a mole fraction weighted average molecular weight of 200 or more and 1000 or less. The (A) component has an Ohnishi parameter (OP) of 3.80 or more. The Ohnishi parameter (OP) of the (A) component is a mole fraction weighted average of N/(NC?NO), wherein N denotes a total number of atoms in a molecule, NC denotes a number of carbon atoms in the molecule, and NO denotes a number of oxygen atoms in the molecule.
    Type: Grant
    Filed: February 15, 2016
    Date of Patent: August 27, 2019
    Assignee: Canon Kabushiki Kaisha
    Inventors: Toshiki Ito, Takeshi Honma, Shiori Yonezawa, Tomonori Otani, Kazumi Iwashita
  • Patent number: 10377848
    Abstract: Polymeric reaction products of certain aromatic alcohols with certain diaryl-substituted aliphatic alcohols are useful as underlayers in semiconductor manufacturing processes.
    Type: Grant
    Filed: April 7, 2016
    Date of Patent: August 13, 2019
    Assignees: Rohm and Haas Electronic Materials LLC, Rohm and Haas Electronic Materials Korea Ltd.
    Inventors: Seon-Hwa Han, Sung Wook Cho, Hae-Kwang Pyun, Jung-June Lee, Shintaro Yamada
  • Patent number: 10369725
    Abstract: The present invention relates to a method for preparing a free-standing polymer film with micropores in a simple and economical way, and particularly to a method for preparing a free-standing polymer film with micropores that includes: (a) forming a thin film of a water-soluble polymer on a substrate; (b) forming a thin film of a hydrophobic polymer on the water-soluble polymer thin film; (c) treating the substrate having the polymer thin films formed on with a mixed solution of a solvent and a C1-C3 alcohol and drying the substrate; and (d) immersing the dried substrate in water to dissolve the water-soluble polymer thin film and thereby to peel the hydrophobic polymer thin film from the substrate.
    Type: Grant
    Filed: December 4, 2015
    Date of Patent: August 6, 2019
    Assignee: The Industry & Academic Cooperation in Chungnam National University (IAC)
    Inventors: Ho-Suk Choi, Van Tien Bui
  • Patent number: 10345287
    Abstract: A method for calibrating multiple nanostructures in parallel for quantitative biosensing using a chip for localized surface plasmon resonance (LSPR) biosensing and imaging. The chip is a glass coverslip compatible for use in a standard microscope with at least one array of functionalized plasmonic nanostructures patterned onto it using electron beam nanolithography. The chip is used to collect CCD-based LSPR imagery data of each individual nanostructure and LSPR spectral data of the array. The spectral data is used to determine the fractional occupancy of the array. The imagery data is modeled as a function of fractional occupancy to determine the fractional occupancy of each individual nanostructure.
    Type: Grant
    Filed: September 27, 2013
    Date of Patent: July 9, 2019
    Assignee: The United States of America, as represented by the Secretary of the Navy
    Inventors: Marc P. Raphael, Joseph A. Christodoulides, Jeff M. Byers
  • Patent number: 10332652
    Abstract: The conductive film is arranged on the support and contains a binder and a metal portion, in which a position at which the contour line reaches the metal portion included in the thin conductive wire is set as an upper end position, and an average area ratio VA of the metal portion in a region ranging from the upper end position to 100 nm toward the support side is 1% or more and less than 50%, and a position at which the contour line reaches the thin conductive wire does not include the metal portion is set to a lower end position, and an average area ratio VM1 of the metal portion in a region ranging from a middle position between the upper end position and the lower end position to 50 nm toward the support side and to 50 nm toward the surface X side is 50% or more.
    Type: Grant
    Filed: September 4, 2017
    Date of Patent: June 25, 2019
    Assignee: FUJIFILM Corporation
    Inventors: Kensuke Katagiri, Shin Tajiri, Toshinari Fujii
  • Patent number: 10312137
    Abstract: Embodiments of the present disclosure provide an apparatus and methods for forming a hardmask layer that may be utilized to transfer patterns or features to a film stack with accurate profiles and dimension control for manufacturing three dimensional (3D) stacked semiconductor devices. In one embodiment, a method of forming a hardmask layer on a substrate includes forming a seed layer comprising boron on a film stack disposed on a substrate by supplying a seed layer gas mixture in a processing chamber, forming a transition layer comprising born and tungsten on the seed layer by supplying a transition layer gas mixture in the processing chamber, and forming a bulk hardmask layer on the transition layer by supplying a main deposition gas mixture in the processing chamber.
    Type: Grant
    Filed: June 7, 2016
    Date of Patent: June 4, 2019
    Assignee: Applied Materials, Inc.
    Inventors: Eswaranand Venkatasubramanian, Susmit Singha Roy, Pramit Manna, Abhijit Basu Mallick
  • Patent number: 10303048
    Abstract: The present disclosure relates to a patterned structure, the structure comprising: i) a substrate, ii) a first layer on top of the substrate, comprising a filler material and a guiding material, wherein at least a top surface of the first layer comprises one or more zones of filler material and one or more zones of guiding material, and iii) a second layer on top of the first layer comprising a pattern of a first material, the pattern being either aligned or anti-aligned with the underlying one or more zones of guiding material; wherein the first material comprises a metal or a ceramic material and wherein the guiding material and the filler material either both comprise or both do not comprise the metal or ceramic material.
    Type: Grant
    Filed: February 15, 2017
    Date of Patent: May 28, 2019
    Assignees: IMEC VZW, KATHOLIEKE UNIVERSITEIT LEUVEN, KU LEUVEN R&D
    Inventors: Boon Teik Chan, Arjun Singh, Safak Sayan
  • Patent number: 10281817
    Abstract: A method of manufacturing an imprint master template including forming a first layer pattern only in a partial region and a second layer formed in the entire region, and then a back exposure process is performed.
    Type: Grant
    Filed: June 12, 2017
    Date of Patent: May 7, 2019
    Assignee: Samsung Display Co., Ltd.
    Inventors: Taewoo Kim, Eunjung Kim, Seung-Won Park, Daehwan Jang, Hyungbin Cho, Gugrae Jo
  • Patent number: 10209420
    Abstract: Provided are a polarizing plate having high transmittance characteristics and excellent controllability of reflectance characteristics, a method of manufacturing the polarizing plate, and an optical apparatus including the polarizing plate. A polarizing plate having a wire grid structure includes a transparent substrate and grid-shaped protrusions that are arranged on the transparent substrate at a pitch shorter than a wavelength of light in a use band and extend in a predetermined direction, the grid-shaped protrusion includes, in order from the transparent substrate side, a reflection layer, a dielectric layer, and an absorption layer, a width of the reflection layer is smaller than a width of the dielectric layer, and a grid tip formed at a tip of the grid-shaped protrusion has a tapered shape where a side face thereof is inclined in such a direction that a width thereof is decreased toward a tip side when viewed from the predetermined direction.
    Type: Grant
    Filed: December 27, 2017
    Date of Patent: February 19, 2019
    Assignee: Dexerials Corporation
    Inventor: Tomu Takeda
  • Patent number: 10201974
    Abstract: A process for producing a liquid discharge head including a substrate having a liquid supply path passing through from its first surface to second surface and an discharge port forming member having a discharge port communicating with the supply path through a flow path, the process including providing a first layer of photosensitive resin in a region covering an opening of the supply path in the first surface; forming a latent image of a pattern of the flow path in the first layer by exposure; providing a second layer of negative photosensitive resin on the first layer; curing a portion, opposing to the opening of the supply path in the first surface, of the second layer; forming a latent image of a pattern of the discharge port in the second layer by exposure; and developing latent images of patterns of the flow path and discharge port.
    Type: Grant
    Filed: February 16, 2016
    Date of Patent: February 12, 2019
    Assignee: CANON KABUSHIKI KAISHA
    Inventors: Jun Yamamuro, Kazuhiro Asai, Keiji Matsumoto, Koji Sasaki, Masahisa Watanabe, Kunihito Uohashi, Seiichiro Yaginuma, Ryotaro Murakami, Kenji Fujii
  • Patent number: 10192733
    Abstract: A method of manufacturing a semiconductor device including attaching, by a liquid treatment, a first liquid to a surface of a semiconductor substrate having a fine pattern formed therein; substituting the first liquid attached to the surface of the semiconductor substrate with a solution, the solution comprising a sublimate dissolved in a second liquid; vaporizing the second liquid and precipitating the sublimate to the surface of the semiconductor substrate to forma solid precipitate comprising the sublimate; and removing the precipitate by sublimation. For example, the sublimate may be a material having at least two carboxyl groups bonded to cyclohexane or a material formed of two carboxyl groups bonded to benzene with the bonding sites of the two carboxyl groups being adjacent to one another.
    Type: Grant
    Filed: February 23, 2017
    Date of Patent: January 29, 2019
    Assignee: TOSHIBA MEMORY CORPORATION
    Inventors: Junichi Igarashi, Katsuhiro Sato, Masaaki Hirakawa
  • Patent number: 10171919
    Abstract: In one aspect, the present invention provides nano-scale heaters, such as nano-scale thermoacoustic loudspeakers comprising suspended metal nanobridges prepared using atomic layer deposition (ALD). The loudspeakers of the invention are capable of producing audible sound when stimulated with an electrical current or other energetic stimulus. In another aspect, the present invention provides methods of preparing and using such nanodevices.
    Type: Grant
    Filed: May 16, 2017
    Date of Patent: January 1, 2019
    Assignee: The Regents of the University of Colorado, a body corporate
    Inventors: Victor M. Bright, Joseph J. Brown
  • Patent number: 10157752
    Abstract: Methods of patterning a target material layer are provided herein. The method includes steps of positioning a semiconductor wafer having the target material layer thereon in an etch chamber and of providing a flow of etch gases into the etch chamber, the flow of etch gases etchant gas comprising a plurality of gases. The semiconductor wafer has a patterned hardmask feature formed from a compound on the target material layer. The method also includes steps of etching the target material layer using the patterned hardmask feature as a mask feature, wherein one of the gases chemically alters the patterned hardmask feature and at least one of the gases chemically repairs the patterned hardmask feature so that the patterned hardmask feature retains its dimensions during the etching. Associated semiconductor wafer are also provided herein.
    Type: Grant
    Filed: February 8, 2016
    Date of Patent: December 18, 2018
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chih-Yuan Ting, Chung-Wen Wu
  • Patent number: 10153240
    Abstract: Methods and apparatus are disclosed which reduce the stress concentration at the redistribution layers (RDLs) of a package device. A package device may comprise a seed layer above a passivation layer, covering an opening of the passivation layer, and covering and in contact with a contact pad. A RDL is formed above the passivation layer, above and in contact with the seed layer, covering the opening of the passivation layer, and electrically connected to the contact pad through the seed layer. The RDL has an end portion with a surface that is smooth without a right angle. The surface of the end portion of the RDL may have an obtuse angle, or a curved surface.
    Type: Grant
    Filed: October 19, 2016
    Date of Patent: December 11, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chun-Lin Lu, Hsien-Wei Chen, Kai-Chiang Wu, Hung-Jui Kuo
  • Patent number: 10128337
    Abstract: Methods for forming fin structures with desired profile and dimensions for three dimensional (3D) stacking of fin field effect transistor (FinFET) for semiconductor chips are provided. The methods include a structure reshaping process to reshape a shaped structure, such as a diamond like structure formed on a fin structure. In one embodiment, a method for forming a structure on a substrate includes performing an epitaxial deposition process to form a shaped structure on a fin structure disposed on a substrate, performing a mask layer deposition process to form a mask layer having a first width on the shaped structure, and performing a mask trimming process to trim the mask layer from the first width from a second width.
    Type: Grant
    Filed: June 3, 2016
    Date of Patent: November 13, 2018
    Assignee: Applied Materials, Inc.
    Inventors: Jie Zhou, Zhong Qiang Hua, Chentsau Ying, Srinivas D. Nemani, Ellie Y. Yieh
  • Patent number: 10118155
    Abstract: A method of transferring a single metal atom from a first location to a second location on the surface of a metal oxide is disclosed. The method includes obtaining a material having a first metal atom deposited on a first oxygen atom vacancy of the metal oxide and transferring the first metal atom of the metal on the first oxygen atom vacancy to a second location on the metal oxide by applying a voltage to the first metal atom. The second location can be a second metal atom on a second oxygen atom vacancy of the metal oxide, where the first and second metal atoms form a first metal atom-second metal atom species, or a metal atom of the metal oxide, where the first metal atom and the metal atom of the metal oxide forms a first metal atom-metal atom of the metal oxide species.
    Type: Grant
    Filed: August 11, 2016
    Date of Patent: November 6, 2018
    Assignees: SABIC Global Technologies B.V., UCL Business PLC
    Inventors: Andy Mellor, Chi Yim, Chi Pang, Geoff Thornton, Hicham Idriss
  • Patent number: 10108092
    Abstract: Provided is a photolithography method, including: a) forming a photoresist layer satisfying D=m*(?/2n) (D is a thickness of the photoresist layer, n is a refractive index of the photoresist, ? is a wavelength of irradiated light at the time of exposure, and m is a natural number of 1 or more) on a substrate; and b) manufacturing a photoresist pattern having a ring shape by exposing the photoresist layer and developing the exposed photoresist layer using a photo mask including a transparent substrate and a plate-type metal dot contacting a light emitting surface of the transparent substrate.
    Type: Grant
    Filed: June 9, 2015
    Date of Patent: October 23, 2018
    Assignee: Korea Research Institute of Standards and Science
    Inventor: Eun-Ah You
  • Patent number: 10082660
    Abstract: The invention relates to a transparent object carrier, which has a marking impressed in the interior, to a diagnostic instrument, preferably a microscope, in combination with a transparent object carrier inserted for diagnostic analysis, and to a method, comprising the steps of providing a transparent object carrier, impressing a marking, which is located in the interior of the carrier, supplying the transparent object carrier with a biological or chemical sample, and, optionally, dividing the transparent object carrier and thereby producing a plurality of smaller transparent object carriers that enclose material from the biological or chemical sample.
    Type: Grant
    Filed: October 27, 2014
    Date of Patent: September 25, 2018
    Assignee: EUROIMMUN MEDIZINISCHE LABORDIAGNOSTIKA AG
    Inventors: Winfried Stoecker, Norbert Rottmann, Norbert Koop
  • Patent number: 10040686
    Abstract: A CNT dispersion liquid of the preset invention includes a CNT agglomerate arranged with a mesh body formed from a plurality of CNTs, the CNT agglomerate being dispersed in a dispersion medium is provided wherein a CNT agglomerate is obtained by extracting from the dispersion liquid and drying the CNT agglomerate the obtained CNT agglomerate has a pore size of 0.02 ?m or more and 2.0 ?m or less being maximized a differential pore volume in a pore size range of 0.002 ?m or more and 10.00 ?m or less measured using a mercury intrusion porosimeter.
    Type: Grant
    Filed: May 3, 2013
    Date of Patent: August 7, 2018
    Assignee: NATIONAL INSTITUTE OF ADVANCED INDUSTRIAL SCIENCE AND TECHNOLOGY
    Inventors: Kenji Hata, Kazufumi Kobashi, Don N. Futaba
  • Patent number: 10011490
    Abstract: A CNT dispersion liquid of the preset invention includes a CNT agglomerate arranged with a mesh body formed from a plurality of CNTs, the CNT agglomerate being dispersed in a dispersion medium is provided wherein a CNT agglomerate is obtained by extracting from the dispersion liquid and drying the CNT agglomerate the obtained CNT agglomerate has a pore size of 0.02 ?m or more and 2.0 ?m or less being maximized a differential pore volume in a pore size range of 0.002 ?m or more and 10.00 ?m or less measured using a mercury intrusion porosimeter.
    Type: Grant
    Filed: May 3, 2013
    Date of Patent: July 3, 2018
    Assignee: NATIONAL INSTITUTE OF ADVANCED INDUSTRIAL SCIENCE AND TECHNOLOGY
    Inventors: Kenji Hata, Kazufumi Kobashi, Don N. Futaba
  • Patent number: 9985190
    Abstract: Embodiments are related to systems and methods for fluidic assembly, and more particularly to diodes offering orientation control properties in a fluidic assembly system.
    Type: Grant
    Filed: May 18, 2016
    Date of Patent: May 29, 2018
    Assignee: eLux Inc.
    Inventors: Changqing Zhan, Mark Albert Crowder, Paul John Schuele
  • Patent number: 9899222
    Abstract: A trench structure on a SiC substrate and method for fabricating thereof is provided. The fabricating method includes: providing a SiC substrate; forming a protection layer on the SiC substrate; forming an resisting layer on the protection layer; patterning the resisting layer and the protection layer to form an opening; patterning the SiC substrate by using the patterned resisting layer as a hard mask to form a trench; removing the patterned resisting layer; performing a high-temperature annealing process to form a rounded bottom of the trench; and removing the protection layer.
    Type: Grant
    Filed: December 21, 2015
    Date of Patent: February 20, 2018
    Assignee: INDUSTRIAL TECHNOLOGY RESEARCH INSTITUTE
    Inventors: Kuan-Wei Chu, Ming-Jinn Tsai
  • Patent number: 9870915
    Abstract: Embodiments include a method of processing a hardmask that includes forming an alloyed carbon hardmask over an underlying layer. In an embodiment, the alloyed carbon hardmask is alloyed with metallic-carbon fillers. The embodiment further includes patterning the alloyed carbon hardmask and transferring the pattern of the alloyed carbon hardmask into the underlying layer. According to an embodiment, the method may further include removing the metallic component of the metallic-carbon fillers from the alloyed carbon hardmask to form a porous carbon hardmask. Thereafter, the porous hardmask may be removed. In an embodiment, the metallic component of the metallic-carbon fillers may include flowing a processing gas into a chamber that volatizes the metallic component of the metallic-carbon fillers.
    Type: Grant
    Filed: October 1, 2016
    Date of Patent: January 16, 2018
    Assignee: Applied Materials, Inc.
    Inventors: David Knapp, Simon Huang, Jeffrey W. Anthis, Philip Alan Kraus, David Thompson
  • Patent number: 9859281
    Abstract: A technique for forming a semiconductor device is provided. Sacrificial mandrels are formed over a hardmask layer on a semiconductor layer. Spacers are formed on sidewalls of the sacrificial mandrels. The sacrificial mandrels are removed to leave the spacers. A masking process leaves exposed a first set of spacers with a second set protected. In response to the masking process, a first fin etch process forms a first set of fins in the semiconductor layer via first set of spacers. The first set of fins has a vertical sidewall profile. Another masking process leaves exposed the second set of spacers with the first set of spacers and the first set of fins protected. In response to the other masking process, a second fin etch process forms a second set of fins in semiconductor layer using the second set of spacers. The second set of fins has a trapezoidal sidewall profile.
    Type: Grant
    Filed: July 26, 2016
    Date of Patent: January 2, 2018
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Chia-Yu Chen, Zuoguang Liu, Miaomiao Wang, Tenko Yamashita
  • Patent number: 9829788
    Abstract: A method is provided for fabricating a photolithographic mask. The method includes providing a transparent substrate; and forming an opaque layer on the transparent substrate. The method also includes writing layout patterns with at least one sub-resolution assistant feature with non-uniform size along a longitudinal direction to increase an adhesion force between the sub-resolution assistant feature with non-uniform size along the longitudinal direction and the transparent substrate in the opaque layer. Further, the method include cleaning residual matters generated by writing the layout patterns in the opaque layer. Further, the method also includes spin-drying the transparent substrate with the layout patterns and the sub-resolution assistant feature with non-uniform size along the longitudinal direction.
    Type: Grant
    Filed: July 16, 2015
    Date of Patent: November 28, 2017
    Assignee: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
    Inventors: Boxiu Cai, Yi Huang
  • Patent number: 9810987
    Abstract: A substrate treatment method includes: a polymer separation step of phase-separating a block copolymer into a hydrophilic polymer and a hydrophobic polymer; and a polymer removal step of selectively removing the hydrophilic polymer from the phase-separated block copolymer, wherein in the polymer removal step, the hydrophilic polymer is removed by: irradiating the phase-separated block copolymer with an energy ray; then supplying a first polar organic solvent having a first degree of dissolving the hydrophilic polymer, being lower in boiling point than water and capable of dissolving water, and not dissolving the hydrophobic polymer, to the block copolymer; and then supplying a second polar organic solvent having a second dissolving degree lower than the first dissolving degree, being higher in boiling point than water, and not dissolving the hydrophobic polymer, to the block copolymer.
    Type: Grant
    Filed: June 6, 2014
    Date of Patent: November 7, 2017
    Assignee: Tokyo Electron Limited
    Inventors: Makoto Muramatsu, Takahiro Kitano, Tadatoshi Tomita, Takanori Nishi, Shinichiro Kawakami, Takashi Yamauchi
  • Patent number: 9806254
    Abstract: A storage device includes a first electrode, a second electrode, a storage element, a spacer and a barrier structure. The second electrode is opposite to the first electrode. The storage element is disposed between the first electrode and the second electrode. The spacer is formed on a sidewall of the second electrode, and the spacer has a notch positioned on a top surface of the spacer. The barrier structure is embedded in a lateral of the spacer, and the barrier structure has a top extending upwards past a bottom of the notch. In addition, a method of manufacturing the storage device is disclosed as well.
    Type: Grant
    Filed: June 15, 2015
    Date of Patent: October 31, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Fu-Ting Sung, Chern-Yow Hsu, Shih-Chang Liu
  • Patent number: 9801284
    Abstract: A method of manufacturing a patterned conductor is provided, comprising: providing a substrate, comprising: a base material with an electrically conductive layer disposed thereon; providing an electrically conductive layer etchant; providing a spinning material, comprising: a carrier; and, a photosensitive masking material; providing a developer; forming a plurality of masking fibers and depositing them onto the electrically conductive layer to form a plurality of deposited fibers; patterning the plurality of deposited fibers to provide a treated fiber portion and an untreated fiber portion; developing the plurality of deposited fibers, wherein either the treated fiber portion or the untreated fiber portion is removed, leaving a patterned fiber array; contacting the electrically conductive layer to the electrically conductive layer etchant, wherein the electrically conductive layer that is uncovered by the patterned fiber array is removed, leaving a patterned conductive network on the substrate.
    Type: Grant
    Filed: November 18, 2015
    Date of Patent: October 24, 2017
    Assignee: Dow Global Technologies LLC
    Inventors: Tamara Dikic, Michael A. De Graaf, Christophe Brault, Stefan Prot, C A Torfs-Van Cotthem
  • Patent number: 9786248
    Abstract: A touch display device includes a touch panel, a liquid crystal display module and a pressure sensation layer. One face of the liquid crystal display module is correspondingly attached to a bottom of the touch panel. The liquid crystal display module corresponds to a touch section of the touch panel. The pressure sensation layer is disposed on the other face of the liquid crystal display module. The touch display device can provide both plane touch and pressure sensation touch effects and the operation of the touch display device is diversified and facilitated.
    Type: Grant
    Filed: August 7, 2015
    Date of Patent: October 10, 2017
    Inventor: Chih-Chung Lin
  • Patent number: 9782772
    Abstract: Provided is a method of bonding two surfaces, which includes providing nitrogen or ammonia plasma to a plastic material where a polysiloxane contacted, and a construct manufactured therefrom.
    Type: Grant
    Filed: November 2, 2015
    Date of Patent: October 10, 2017
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jeoyoung Shim, Woosung Jeon, Yongkoo Kyoung, Euiseong Moon
  • Patent number: 9761459
    Abstract: Systems and methods for reverse pulsing are described. One of the methods includes receiving a digital signal having a first state and a second state. The method further includes generating a transformer coupled plasma (TCP) radio frequency (RF) pulsed signal having a high state when the digital signal is in the first state and having a low state when the digital signal is in the second state. The method includes providing the TCP RF pulsed signal to one or more coils of a plasma chamber, generating a bias RF pulsed signal having a low state when the digital signal is in the first state and having a high state when the digital signal is in the second state, and providing the bias RF pulsed signal to a chuck of the plasma chamber.
    Type: Grant
    Filed: September 23, 2015
    Date of Patent: September 12, 2017
    Assignee: Lam Research Corporation
    Inventors: Maolin Long, Zhongkui Tan, Ying Wu, Qian Fu, Alex Paterson, John Drewery
  • Patent number: 9755612
    Abstract: A method for manufacturing a resonator in a substrate, including: a) modifying a structure of at least one region of the substrate to make the at least one region more selective; b) etching the at least one region to selectively manufacture the resonator.
    Type: Grant
    Filed: December 20, 2012
    Date of Patent: September 5, 2017
    Assignee: The Swatch Group Research and Development Ltd
    Inventors: Thierry Hessler, Silvio Dalla Piazza
  • Patent number: 9715172
    Abstract: A method is provided for forming a patterned topography on a substrate. The substrate is provided with features formed atop that constitute an existing topography, and a template for directed self-assembly (DSA) surrounds the exposed topography. Further to the method, the template is filled with a block copolymer (BCP) to cover the exposed topography, and then the BCP is annealed within the template to drive self-assembly in alignment with the topography. Developing the annealed BCP exposes a DSA pattern immediately overlying the topography.
    Type: Grant
    Filed: May 23, 2016
    Date of Patent: July 25, 2017
    Assignee: Tokyo ELectron Limited
    Inventors: Benjamen M. Rathsack, Mark H. Somervell
  • Patent number: 9704723
    Abstract: Systems, chambers, and processes are provided for controlling process defects caused by moisture contamination. The systems may provide configurations for chambers to perform multiple operations in a vacuum or controlled environment. The chambers may include configurations to provide additional processing capabilities in combination chamber designs. The methods may provide for the limiting, prevention, and correction of aging defects that may be caused as a result of etching processes performed by system tools.
    Type: Grant
    Filed: November 9, 2015
    Date of Patent: July 11, 2017
    Assignee: Applied Materials, Inc.
    Inventors: Anchuan Wang, Xinglong Chen, Zihui Li, Hiroshi Hamana, Zhijun Chen, Ching-Mei Hsu, Jiayin Huang, Nitin K. Ingle, Dmitry Lubomirsky, Shankar Venkataraman, Randhir Thakur
  • Patent number: 9690018
    Abstract: A method for making a grating includes the following steps. A first photoresist film is formed on a substrate. A second photoresist film is applied on the first photoresist film. A number of first cavities are formed in the second photoresist film, wherein part of the first photoresist film is exposed to form a first exposed part. A number of second cavities are formed, wherein part of the surface of the substrate is exposed to form an exposed surface. A mask layer is deposited on the second photoresist film and the exposed surface of the substrate. A patterned mask layer is formed, and part of the substrate is exposed to form a second exposed part. The second exposed part of the substrate is etched through the patterned mask layer. The patterned mask layer is removed.
    Type: Grant
    Filed: October 30, 2015
    Date of Patent: June 27, 2017
    Assignees: Tsinghua University, HON HAI PRECISION INDUSTRY CO., LTD.
    Inventors: Zhen-Dong Zhu, Qun-Qing Li, Li-Hui Zhang, Mo Chen