Gas Phase And Nongaseous Phase Etching On The Same Substrate Patents (Class 216/57)
  • Patent number: 6863833
    Abstract: The invention provides microfabricated silicon substrates and devices having extremely small apertures (termed “nanoapertures”) and methods for producing such nanoapertures. The devices have a nanoaperture (which may have a diameter ranging from about a few millimeters to as small as a few nm) across a substrate effective to connect two regions separated by the substrate. The devices are suitable for the formation of lipid bilayer membranes across the apertures, and for use in devices such as biosensors. Substrates and devices may include multiple nanoapertures, which may each support a lipid bilayer membrane, allowing fault tolerant devices such as fault-tolerant biosensors, and allowing devices able to sense more than one target molecule.
    Type: Grant
    Filed: June 21, 2002
    Date of Patent: March 8, 2005
    Assignee: The Board of Trustees of the Leland Stanford Junior University
    Inventors: David M. Bloom, Mark C. Peterman, Jonathan M. Ziebarth
  • Patent number: 6858537
    Abstract: A process for smoothing a rough surface on a substrate, such as a diamond or silicon carbide substrate, said rough surface including protruding peak portions separated by valleys, said smoothing comprising (a) depositing a coating on said rough surface so as to adhere to and to fill at least the valleys of said rough surface, (b) mechanically polishing the thus coated rough surface so as to achieve a smooth coated surface, and (c) dry etching the smooth coated surface, such as by PACE, so as to remove the remaining coating and at least protruding peak portions of the substrate so as to achieve a smooth surface on the substrate, wherein in the mechanical polishing step (b) the coating is removed at a rate of reduction of thickness greater than the rate at which the substrate is subject to reduction of thickness by the mechanical polishing, and in the dry etching step (c) the coating and substrate are removed at substantially the same or a similar rate of reduction of thickness, and, if necessary, steps (a), (b
    Type: Grant
    Filed: August 12, 2002
    Date of Patent: February 22, 2005
    Assignee: HRL Laboratories, LLC
    Inventor: Peter D. Brewer
  • Patent number: 6838015
    Abstract: A composition which includes liquid or supercritical carbon dioxide and an acid having a pKa of less than about 4. The composition is employed in a process of removing residue from a precision surface, such as a semiconductor sample, in which the precision surface is contacted with the composition under thermodynamic conditions consistent with the retention of the liquid or supercritical carbon dioxide in the liquid or supercritical state.
    Type: Grant
    Filed: September 4, 2001
    Date of Patent: January 4, 2005
    Assignee: International Business Machines Corporation
    Inventors: John Michael Cotte, Dario L. Goldfarb, Pamela Jones, Kenneth John McCullough, Wayne Martin Moreau, Keith R. Pope, John P. Simons, Charles J. Taft
  • Patent number: 6834423
    Abstract: A method of manufacturing a liquid discharge head having a liquid flow path, a discharge energy generating device in the liquid flow path, a discharge port communicating with the liquid flow path, and a movable member facing the discharge energy generating device. The movable member is formed by a photolithographic technique, and a right-angled part and an acute-angled part of an edge of the movable member are removed so as to make a surface of the edge of the movable member curved. The curved surface of the movable member serves to reduce concentration of the stress on the movable member that occurs due to displacement of the movable member resulting from pressure of a bubble generated to discharge ink. Removal of the right-angled and acute-angled parts may be performed by soaking the movable member in an etching solution after formation of the movable member.
    Type: Grant
    Filed: July 26, 2001
    Date of Patent: December 28, 2004
    Assignee: Canon Kabushiki Kaisha
    Inventors: Hiroyuki Sugiyama, Hiroyuki Ishinaga, Yoshinori Misumi, Yoichi Taneya
  • Patent number: 6830701
    Abstract: An actuator is made by depositing an electrode layer on an initial layer. A patterned layer of sacrificial material is formed on the first electrode layer such that a region of the first electrode layer is exposed through the subsequent layer. A second electrode layer is deposited and patterned on the subsequent layer. Then, a third patterned layer of sacrificial material is formed on the second electrode layer with an opening there through to the exposed region of the first electrode layer. A structure is deposited, patterned and planarized on the third layer expose a surface of the third layer. A third electrode layer is deposited and patterned on the planarized structure and the exposed surface of the third layer. The sacrificial material is partially removed, whereby the first electrode layer, the structure, and the third electrode layer are free to move together relative to the second electrode layer.
    Type: Grant
    Filed: July 9, 2002
    Date of Patent: December 14, 2004
    Assignee: Eastman Kodak Company
    Inventors: Michael J. DeBar, Christopher N. Delametter, Edward P. Furlani
  • Patent number: 6811714
    Abstract: A method of manufacturing a micromachined component includes using a first liquid to etch a first layer (140) located underneath a second layer (150), exposing the second layer to a second liquid that is inorganic and miscible in carbon dioxide, and supercritical drying the micromachined component with carbon dioxide.
    Type: Grant
    Filed: October 6, 2000
    Date of Patent: November 2, 2004
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Jonathan F. Gorrell, Gordana S. Nielsen
  • Patent number: 6787052
    Abstract: A method for fabricating semiconductor microstructures with a combination of etching steps, i.e. local RIE, isotropic, etc. followed by deep anisotropic etching.
    Type: Grant
    Filed: June 19, 2000
    Date of Patent: September 7, 2004
    Inventor: Vladimir Vaganov
  • Patent number: 6780777
    Abstract: The disclosure pertains to a method for forming a metal layer of a semiconductor device including the steps of: removing a residual native oxide from a contact hole forming a metal junction layer on this contact hole to improve the junction with an inter-layer insulating film, forming a first metal layer in the contact hole to a predetermined thickness under a low pressure to improve step coverage, and forming a second metal layer to a predetermined thickness, thereby planarizing the metal layer. As a result, the step coverage of the bottom surface and side walls of the contact hole is improved, thus preventing defects caused by the disconnection of metal wire of a semiconductor device and improving the economy of the process.
    Type: Grant
    Filed: May 23, 2002
    Date of Patent: August 24, 2004
    Assignee: Hynix Semiconductor Inc.
    Inventors: Jong-ho Yun, Sung-gon Jin, Ku-young Kim
  • Patent number: 6766811
    Abstract: An aqueous solution containing sulfuric acid and hydrogen peroxide is used for a soft etchant in a soft etching step in a smear removing process performed prior to a catalyst applying process for chemical copper plating after formation of via holes through an insulating layer of a multi-layer substrate by irradiation of laser. The concentration of sulfuric acid is 2.4 times or less than the concentration of hydrogen peroxide. Preferably, the concentration of sulfuric acid is in a range of 9 to 90 g/l, and the concentration of sulfuric acid is lower than the concentration of hydrogen peroxide. More preferably, the concentration of sulfuric acid is in a range of 9 to 18 g/l, and the concentration of hydrogen peroxide is in a range of 33 to 38.5 g/l. As a result, smear can be certainly removed without excessively etching a conductive layer in the smear removing process.
    Type: Grant
    Filed: August 7, 2002
    Date of Patent: July 27, 2004
    Assignee: Kabushiki Kaisha Toyota Jidoshokki
    Inventors: Toshihisa Shimo, Kyoko Kumagai, Toshiki Inoue, Yoshifumi Kato, Takashi Yoshida, Masanobu Hidaka
  • Patent number: 6764605
    Abstract: In one embodiment, a fluid ejection device comprises a substrate having a fluid slot defined from a first surface through to a second opposite surface; an ejection element formed over the first surface and that ejects fluid therefrom; and a filter having feed holes positioned over the fluid slot near the first surface. Fluid moves from the second surface through the feed holes to the ejection element. In a particular embodiment, the filter is formed of a first material that is surrounded by a second material. In another particular embodiment, the filter is formed from the back side and is formed of the same material as the substrate.
    Type: Grant
    Filed: January 31, 2002
    Date of Patent: July 20, 2004
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Jeremy Donaldson, Naoto A. Kawamura, Daniel A. Kearl, Donald J. Milligan, J. Daniel Smith, Martha A. Truninger, Diane Lai, Norman L. Johnson, William Edwards, Sadiq Bengali, Timothy R. Emery
  • Publication number: 20040124175
    Abstract: The invention relates to a defect repair method, in particular for repairing quartz defects on alternating phase shift masks, wherein, for repairing defects (5) existing on one and the same component (1), both, defect repair method steps substantially based on mechanical processes (S3), in particular nanomachining method steps, and defect repair method steps substantially based on etching processes (S2), in particular FIB (Focused Ion Beam) method steps, are used. Moreover, the invention relates to a component (1), in particular a photomask, repaired by making use of such a defect repair method.
    Type: Application
    Filed: September 24, 2003
    Publication date: July 1, 2004
    Applicant: Infineon Technologies AG
    Inventors: Ralf Ludwig, Martin Verbeek
  • Patent number: 6746615
    Abstract: An in-process microelectronics device is treated by applying a heated liquid to the surface of the in-process microelectronics device, removing a portion of the liquid from the surface of the in-process microelectronics device and applying anhydrous HF gas to the surface of the in-process microelectronics device.
    Type: Grant
    Filed: September 14, 2000
    Date of Patent: June 8, 2004
    Assignee: FSI International, Inc.
    Inventor: Christina Ann Ellis
  • Patent number: 6737356
    Abstract: A method of forming a conductive plug in a contact hole comprising: providing a wafer having a conductive layer comprising silicon adjacent a dielectric layer comprising silicon oxide, and a contact hole disposed in the dielectric layer, the contact hole having surfaces that include sidewalls formed in the dielectric layer and a bottom defined by the conductive layer, a contaminant material being disposed over at least a portion of the conductive layer defining the bottom of the contact hole, the dielectric layer having a surface in which the contact hole terminates in an opening opposing the bottom; depositing a layer of a barrier material on the work object, the layer having a substantially uniform thickness from the surface at the opening of the contact hole to the bottom of the contact hole; and depositing a layer of a protective material barrier around at least opening of the contact hole; etching the material at the bottom of the contact hole to expose the contaminant material while retaining protective
    Type: Grant
    Filed: February 7, 2000
    Date of Patent: May 18, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Daniel B. Dow
  • Patent number: 6736146
    Abstract: A method of removing non-polar colorants of a color filter array rapidly from a bottom layer starts by performing a cracking process to decompose cross-linked polymeric molecules of non-polar R/G/B colorants to smaller fragments. A plasma cleaning process is performed to oxidize the cracked non-polar R/G/B colorants. Then, a solvent cleaning process is performed by using a non-polar solvent to remove the non-polar R/G/B colorants from the bottom layer.
    Type: Grant
    Filed: August 16, 2001
    Date of Patent: May 18, 2004
    Assignee: United Microelectronics Corp.
    Inventors: Jiunn-Hsiung Liao, Ching-Chung Chen
  • Publication number: 20040081923
    Abstract: A method of preventing repeated collapse in a reworked photoresist layer. First, oxygen-containing plasma is applied to remove a collapsed photoresist. Because the plasma containing oxygen reacts with a bottom anti-reflect layer comprising SiOxNy, some acids are produced on the bottom anti-reflect layer, resulting in undercutting in a subsequently reworked photoresist. Next, an alkaline solution treatment is performed on the anti-reflect layer after the collapsed photoresist layer is removed. Finally, the reworked photoresist with is formed on the anti-reflect layer, without undercutting.
    Type: Application
    Filed: February 20, 2003
    Publication date: April 29, 2004
    Applicant: Nanya Technology Corporation
    Inventors: Yuan-Hsun Wu, Teng-Yen Huang, Wen-Bin Wu, Yi-Nan Chen
  • Publication number: 20040074301
    Abstract: The invention relates to a method for manufacturing a silicon sensor structure and a silicon sensor. According to the method, into a single-crystal silicon wafer (10) is formed by etched opening at least one spring element configuration (7) and at least one seismic mass (8) connected to said spring element configuration (7). According to the invention, the openings and trenches (8) extending through the depth of the silicon wafer are fabricated by dry etch methods, and the etch process used for controlling the spring constant of the spring element configuration (7) is based on wet etch methods.
    Type: Application
    Filed: November 24, 2003
    Publication date: April 22, 2004
    Inventors: Heikki Kuisma, Juha Lahdenpera
  • Patent number: 6719914
    Abstract: The present invention relates to a method of manufacturing a piezoelectric device of high sensitivity using direct bonded quartz plate. To achieve this object, the invented method comprises the steps of covalently bonding a plurality of quartz plates, dry etching the bonded quartz plates with plasma from one side of its surfaces down to a bonded plane, and dry etching with plasma thereafter from the other side of the surfaces.
    Type: Grant
    Filed: April 26, 2002
    Date of Patent: April 13, 2004
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Masaya Nakatani, Michihiko Hayashi, Hirofumi Tajika
  • Patent number: 6712986
    Abstract: A nozzle arrangement for an ink jet printhead includes a wafer substrate having a nozzle chamber defined therein. The nozzle arrangement has a nozzle chamber wall that defines an ink ejection port and a rim about the ink ejection port. A series of radially positioned actuators are connected to the wafer substrate and extend radially inwardly towards the rim. Each actuator is configured so that a radially inner edge of each actuator is displaceable, with respect to the nozzle rim, into the chamber, upon actuation of the actuator and so that, upon such displacement, a pressure within the nozzle chamber is increased, resulting in the ejection of ink from the ejection port.
    Type: Grant
    Filed: May 14, 2001
    Date of Patent: March 30, 2004
    Assignee: Silverbrook Research Pty Ltd
    Inventors: Kia Silverbrook, Greg McAvoy
  • Publication number: 20040055999
    Abstract: The present invention relates to a method for planarizing polysilicon. The method includes providing a substrate with polysilicon on the surface, etching the surface of the polysilicon to initially reduce surface roughness, and laser annealing the polysilicon to partially melt the polysilicon to planarize the surface thereof.
    Type: Application
    Filed: February 5, 2003
    Publication date: March 25, 2004
    Inventors: Yu-Cheng Chen, Jia-Xing Lin, Chi-Lin Chen
  • Patent number: 6673253
    Abstract: Three fundamental and three derived aspects of the present invention are disclosed. The three fundamental aspects each disclose a process sequence that may be integrated in a full process. The first aspect, designated as “latent masking”, defines a mask in a persistent material like silicon oxide that is held abeyant after definition while intervening processing operations are performed. The latent oxide pattern is then used to mask an etch. The second aspect, designated as “simultaneous multi-level etching (SMILE)”, provides a process sequence wherein a first pattern may be given an advanced start relative to a second pattern in etching into an underlying material, such that the first pattern may be etched deeper, shallower, or to the same depth as the second pattern. The third aspect, designated as “delayed LOCOS”, provides a means of defining a contact hole pattern at one stage of a process, then using the defined pattern at a later stage to open the contact holes.
    Type: Grant
    Filed: November 2, 2001
    Date of Patent: January 6, 2004
    Assignee: Kionix, Inc.
    Inventors: James E. Moon, Timothy J. Davis, Gregory J. Galvin, Kevin A. Shaw, Paul C. Waldrop, Sharlene A. Wilson
  • Patent number: 6653057
    Abstract: A method of manufacturing a stamper for forming an optical disk substrate by applying a photoresist onto a master substrate, exposing the photoresist to light to produce a pattern, and forming a guiding groove by etching using the remaining photoresist after development as a mask, which comprises the steps of forming in advance a plurality of thin film layers of mutually different materials on the master substrate in a multilayer structure and sequentially etching the plurality of thin film layers selectively to produce the guiding groove.
    Type: Grant
    Filed: November 22, 2000
    Date of Patent: November 25, 2003
    Assignee: Canon Kabushiki Kaisha
    Inventor: Osamu Koyama
  • Patent number: 6649947
    Abstract: A surface-micromachined rotatable member formed on a substrate and a method for manufacturing thereof are disclosed. The surface-micromachined rotatable member, which can be a gear or a rotary stage, has a central hub, and an annulus connected to the central hub by an overarching bridge. The hub includes a stationary axle support attached to the substrate and surrounding an axle. The axle is retained within the axle support with an air-gap spacing therebetween of generally 0.3 &mgr;m or less. The rotatable member can be formed by alternately depositing and patterning layers of a semiconductor (e.g. polysilicon or a silicon-germanium alloy) and a sacrificial material and then removing the sacrificial material, at least in part. The present invention has applications for forming micromechanical or microelectromechanical devices requiring lower actuation forces, and providing improved reliability.
    Type: Grant
    Filed: March 18, 2002
    Date of Patent: November 18, 2003
    Assignee: Sandia Corporation
    Inventors: M. Steven Rodgers, Jeffry J. Sniegowski, Thomas W. Krygowski
  • Publication number: 20030201241
    Abstract: A tool for embossing high aspect ratio microstructures is provided, wherein the microstructures provide decreased surface reflection and increased transmission through an optical component. The tool is fabricated by a process that comprises etching columnar pits in a silicon substrate using inductively coupled plasma, followed by reactive ion etching of the columnar pits to create relatively pointed obelisks. The silicon substrate is then preferably rinsed prior to vapor depositing a conductive layer thereon. Finally, a metal is electroformed over the conductive layer to form the embossing tool. The embossing tool is then pressed against an optical coating, for example a polymer sheet, to create microstructures having aspect ratios from 1 to 5.
    Type: Application
    Filed: May 16, 2003
    Publication date: October 30, 2003
    Inventors: Alan B. Harker, Jeffrey F. DeNatale, Dennis R. Strauss
  • Patent number: 6616773
    Abstract: A substrate treatment assembly for treating a work object on a surface of a substrate by supplying to the work object a wet ozone-containing gas wetted with a treatment solution includes a substrate heating device for maintaining a substrate at a temperature higher than room temperature, a wetting device for producing a wet ozone-containing gas by wetting an ozone-containing gas with a treatment solution, a supply device for supplying the wet ozone-containing gas to a work object on a surface of the substrate, a gas conduit connecting the wetting device to the supply device, and a heating device for heating the wet ozone-containing gas to a temperature approximately equal to or greater than the temperature of the substrate.
    Type: Grant
    Filed: October 11, 2000
    Date of Patent: September 9, 2003
    Assignees: Mitsubishi Denki Kabushiki Kaisha, SPC Electronics Corporation
    Inventors: Masaki Kuzumoto, Seiji Noda, Izumi Oya, Makoto Miyamoto, Hideo Horibe, Tatsuo Kataoka, Tetsuji Oishi
  • Patent number: 6607674
    Abstract: A phase shifting mask repair process is described. The process uses an etching gas or a hydrofluoric acid solution to etch the quartz substrate and the characteristics of the phase shifter layer being only slightly etched when clean with a NH3/H2O2/H2O2 solution to calculate and adjust the respective processing time accordingly. As a result, the phase difference between the quartz substrate and the MoSiON phase shifter layer stays relatively the same before and after the repair process.
    Type: Grant
    Filed: November 30, 2000
    Date of Patent: August 19, 2003
    Assignee: Macronix International CO, Ltd.
    Inventor: Ching-Yu Chang
  • Patent number: 6602427
    Abstract: A method for fabricating a micromachined optical mechanical modulator based WDM transmitter/receiver module is described. The Fabry-Perot cavity of the mechanical modulator is structured from a three-polysilicon-layer stack formed on the surface of a single crystalline silicon substrate. The polysilicon membrane and its supporting polysilicon beams of the cavity are cut from the top polysilicon layer of the stack and are released by selective etching of their underlying polysilicon. The etched underlying polysilicon layer is heavily doped and then converted into porous polysilicon by anodization in HF solution. The polysilicon membrane and its supporting polysilicon are finally released using a reactive ion etch process to avoid stiction often generated in a wet etch process. A conic hole is formed on the backside of the single crystalline silicon substrate for receiving an optical fiber that can be passively aligned with the Fabry-Perot cavity.
    Type: Grant
    Filed: August 28, 2000
    Date of Patent: August 5, 2003
    Inventor: Xiang Zheng Tu
  • Patent number: 6599435
    Abstract: A gas at an extremely low temperature is jet-sprayed onto a warped concave surface of a wafer to correct this warped concave surface flat.
    Type: Grant
    Filed: May 31, 2001
    Date of Patent: July 29, 2003
    Assignee: TDK Corporation
    Inventors: Toshio Kubota, Fujimi Kimura
  • Patent number: 6562251
    Abstract: A process of chemical-mechanical contouring (CMC) using a stair-step etch involves formation of an elevated layer of substrate overlying a device, in the illustrative example a thin-film magnetic head. The elevated layer of substrate is formed into a stair-step structure with the height and width of the stair-steps selected to attain a predetermined shape and size.
    Type: Grant
    Filed: July 26, 2000
    Date of Patent: May 13, 2003
    Assignee: AIWA Co., Ltd.
    Inventor: Steven G. Jordan
  • Publication number: 20030087529
    Abstract: A method for removing a hard mask during a semiconductor fabrication process is disclosed in which a hard mask material is used to pattern a first material. The method includes a two-step removal process that includes performing a major wet etch to remove a majority of the hard mask material, followed by performing a minor dry etch that removes a remainder of the hard mask material.
    Type: Application
    Filed: November 7, 2001
    Publication date: May 8, 2003
    Inventors: Yider Wu, Kouros Ghandehari, Angela Hui, Jeffrey A. Shields, Kuo-Tung Chang
  • Publication number: 20030062336
    Abstract: A method for removing a dielectric layer formed upon a semiconductor substrate is disclosed. In an exemplary embodiment of the invention, the method includes subjecting the dielectric layer to a dry etch process and subjecting an adhesion promoter layer underneath the dielectric layer to a wet etch process.
    Type: Application
    Filed: October 2, 2001
    Publication date: April 3, 2003
    Applicant: International Business Machines Corporation
    Inventors: Darryl D. Restaino, Delores Bennett, John A. Fitzsimmons, John Fritche, Jeffrey C. Hedrick, Chih-Chien Liu, Shahab Siddiqui, Christy S. Tyberg
  • Patent number: 6533949
    Abstract: A method for processing a wafer to form a plurality of hollow microneedles projecting from a substrate includes forming, by use of a dry etching process, a number of groups of recessed features, each including at least one slot deployed to form an open shape having an included area and at least one hole located within the included area. The internal surfaces of the holes and the slots are then coated with a protective layer. An anisotropic wet etching process is then performed in such a manner as to remove material from outside the included areas while leaving a projecting feature within each of the included areas. The protective layer is then removed to reveal the microneedles.
    Type: Grant
    Filed: October 2, 2000
    Date of Patent: March 18, 2003
    Assignee: Nanopass Ltd.
    Inventors: Yehoshua Yeshurun, Meir Hefetz, Meint de Boer, J. W. Berenschot, J. G. E. Gardeniers
  • Patent number: 6524491
    Abstract: A method of manufacturing a magnetic recording head includes the following steps. Form a low magnetic moment, first magnetic shield layer over a substrate. Form a read gap layer with a magnetoresistive head over the first shield layer. Form a seed layer over the read gap layer covered with a frame mask with a width “F”. Form a PLM second shield layer over the seed layer and planarize the shield layer. Form a non-magnetic copper or dielectric spacer layer over the PLM second shield layer. Form a first HMM, lower pole layer over the non-magnetic spacer layer. Cover the first HMM, lower pole layer with a write gap layer. Form an write head mask composed of two parallel rows of resist with an outer width “W” over the seed layer. Between the two rows of resist of the write head mask is a trench having a width “N”. Then form an HMM, upper pole layer over the write gap layer aside from the write head mask.
    Type: Grant
    Filed: April 26, 1999
    Date of Patent: February 25, 2003
    Assignee: Headway Technologies, Inc.
    Inventors: Chun Liu, Cherng-Chyi Han, Kochan Ju, Po-Kang Wang, Jei-Wei Chang
  • Patent number: 6517734
    Abstract: A diffraction grating is fabricated by forming two sets of parallel trenches in a crystal surface, one set with a crystalline-independent etching technique and the other made with a chemically crystalline-dependent etchant. The intersection of the two sets of trenches removes material from the crystal surface to produce an etched crystal surface that can be coated with a reflective material to form the diffraction grating or can be used as a master for batch fabrication of diffraction gratings.
    Type: Grant
    Filed: September 26, 2000
    Date of Patent: February 11, 2003
    Assignee: Network Photonics, Inc.
    Inventors: Lilac Muller, Kenneth Edmund Arnett, Larry Fabiny, Kristofer Stefan Josef Pister
  • Patent number: 6513537
    Abstract: The present invention relates to a method of removing a polymer veil and a metal contamination deposited on a substrate having a metal layer. First, the polymer veils are removed by a chemical liquid in an inert gas atmosphere. Subsequently, the metal contamination are removed by oxidizing the metal contamination into metal oxide contamination by mixing oxygen in a small concentration in the inert gas atmosphere, and dissolving the metal oxide contamination by the chemical liquid.
    Type: Grant
    Filed: November 1, 2000
    Date of Patent: February 4, 2003
    Assignee: Tokyo Electron Limited
    Inventors: Takehiko Orii, Hiroki Ohno, Takashi Yabuta
  • Patent number: 6514422
    Abstract: A process for producing multiple undercut profiles in a single material. A resist pattern is applied over a work piece and a wet etch is performed to produce an undercut in the material. This first wet etch is followed by a polymerizing dry etch which produces a polymer film in the undercut created by the first wet etch. The polymer film prevents further etching of the undercut portion during a second wet etch. Thus, an undercut profile can be obtained having a larger undercut in an underlying portion of the work piece, utilizing only a single resist application step. The work piece may be a multilayer work piece having different layers formed of the same material, or it may be a single layer of material. The process can be used to manufacture a base structure for a conical cathode emitter tip.
    Type: Grant
    Filed: March 23, 2001
    Date of Patent: February 4, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Karen Huang, Christophe Pierrat
  • Patent number: 6475403
    Abstract: A subcritical or supercritical water is used to selectively etch a silicon nitride film against a silicon dioxide film or to selectively etch a silicon dioxide film against a crystalline silicon region. This method is applicable to a process of forming a MISFET or a charge emitting device.
    Type: Grant
    Filed: January 30, 2001
    Date of Patent: November 5, 2002
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Kiyoyuki Morita
  • Publication number: 20020153349
    Abstract: A plasma processing method includes exhausting interior of a vacuum chamber while supplying gas into the vacuum chamber, and while controlling the interior of the vacuum chamber to a pressure, applying a high-frequency power of 100 kHz to 100 MHz to a coil provided in a vicinity of a dielectric window provided so as to face a substrate placed on a substrate electrode in the vacuum chamber, and thus generating plasma in the vacuum chamber to process the substrate or a film on the substrate by the generated plasma while particles which tend to move straight from a surface of the substrate or from a surface of the film on the substrate toward a wall surface of the dielectric window inside the vacuum chamber are kept interrupted by a metal plate.
    Type: Application
    Filed: April 3, 2002
    Publication date: October 24, 2002
    Inventors: Tomohiro Okumura, Takayuki Kai, Yoichiro Yashiro
  • Patent number: 6453915
    Abstract: A method of cleaning polycide gates after an etching step. A gate oxide layer, a polysilicon layer, a titanium nitride layer, a silicide layer, an anti-reflection layer and a patterned photoresist layer are sequentially formed over a substrate. An etching operation is next carried out to form a gate structure. The gate structure is formed by patterning the polysilicon layer, the titanium nitride layer and the silicide layer. The gate structure is subsequently cleaned in a three-step cleaning operation. In the first cleaning step, minute amount of fluoride-containing compound, hydrogen and inert gas are used as gaseous reactants in a plasma-cleaning operation. The fluoride-containing compound is capable of initiating a free radical chain reaction. In the second cleaning step, a solvent containing ammonium ions is applied to the gate structure. In the third cleaning step, a solution formed by dissolving oxidizing agent in de-ionized water is applied.
    Type: Grant
    Filed: June 29, 2000
    Date of Patent: September 24, 2002
    Assignee: United Microelectronics Corp.
    Inventors: Chih-Ning Wu, Chan-Lon Yang
  • Patent number: 6453914
    Abstract: A method for removing organometallic and organosilicate residues remaining after a dry etch process from semiconductor substrates. The substrate is exposed to a conditioning solution of phosphoric acid, hydrofluoric acid, and a carboxylic acid, such as acetic acid, which removes the remaining dry etch residues while minimizing removal of material from desired substrate features. The approximate proportions of the conditioning solution are typically 80 to 95 percent acetic acid, 1 to 15 percent phosphoric acid, and 0.01 to 5.0 percent hydrofluoric acid.
    Type: Grant
    Filed: June 29, 1999
    Date of Patent: September 24, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Kevin J. Torek, Donald L. Yates
  • Patent number: 6454956
    Abstract: A method for structuring at least one layer to be structured. First, a mask is applied to the layer and the layer is structured using the mask. After the structuring step, the mask is then removed, while leaving behind redepositions of the material of the layer. The redepositions of the material of the layer are removed by mechanical polishing or chemical-mechanical polishing.
    Type: Grant
    Filed: August 3, 1998
    Date of Patent: September 24, 2002
    Assignee: Infineon Technologies AG
    Inventors: Manfred Engelhardt, Volker Weinrich
  • Publication number: 20020130106
    Abstract: A method and an apparatus for removing a liquid, i.e a wet processing liquid, from a surface of at least one substrate is disclosed. A liquid is supplied on a surface of substrate. Simultaneously or thereafter besides the liquid also a gaseous substance can be supplied thereby creating at least locally a sharply defined liquid-vapor boundary. The gaseous substance and the liquid can be selected such that the gaseous substance is miscible with the liquid and when mixed with the liquid yields a mixture having a surface tension lower than that of the liquid. According to the invention, the substrate is subjected to a rotary movement at a speed to guide said liquid-vapor boundary over said substrate thereby removing said liquid from said substrate.
    Type: Application
    Filed: March 13, 2002
    Publication date: September 19, 2002
    Inventors: Paul Mertens, Mark Meuris, Marc Heyns
  • Publication number: 20020125212
    Abstract: A method and apparatus for dispensing a liquid on the surface of a localized zone of a substrate, for example for cleaning of etching purposes. Along with the liquid, a gaseous tensio-active substance is supplied, which is miscible with said liquid and when mixed with the liquid, reduces the surface tension of said liquid, thus containing the liquid in a local zone of the substrate surface.
    Type: Application
    Filed: February 13, 2002
    Publication date: September 12, 2002
    Applicant: Interuniversitair Micro-Elektronica Centrum, vzw
    Inventors: Paul Mertens, Marc Meuris, Marc Heyns
  • Patent number: 6426012
    Abstract: A three-part etching process is employed to selectively pattern exposed magnetic film layers of a magnetic thin film structure. The magnetic structure to be etched includes at least one bottom magnetic film layer and at least one top film layer which are separated by a tunnel barrier layer. The three-part etching process employs various etching steps that selective removing various layers of the magnetic thin film structure stopping on the tunnel barrier layer. The first etching step selective removes any surface oxide that may be present in the passivating layer that is formed on the top magnetic thin film layer, the second etching step selectively removes portions of the passivating layer and the third etching step selectively removes a portion of the exposed magnetic film layer of the structure stopping on the tunnel barrier layer.
    Type: Grant
    Filed: August 24, 2000
    Date of Patent: July 30, 2002
    Assignee: International Business Machines Corporation
    Inventors: Eugene John O'Sullivan, Alejandro Gabriel Schrott
  • Publication number: 20020096494
    Abstract: A post-cleaning method of a via etching process in the present invention has the steps of: (a) performing a photoresist strip process to remove the photoresist layer; b) performing a dry cleaning process which uses CF4 as the main reactive gas and is operated by dual powers; and (c) performing a water-rinsing process.
    Type: Application
    Filed: January 24, 2001
    Publication date: July 25, 2002
    Applicant: ProMOS Technologies Inc.
    Inventors: Hungyueh Lu, Hong-Long Chang, Fang-Fei Liu
  • Patent number: 6402969
    Abstract: A surface-micromachined rotatable member formed on a substrate and a method for manufacturing thereof are disclosed. The surface-micromachined rotatable member, which can be a gear or a rotary stage, has a central hub, and an annulus connected to the central hub by an overarching bridge. The hub includes a stationary axle support attached to the substrate and surrounding an axle. The axle is retained within the axle support with an air-gap spacing therebetween of generally 0.3 &mgr;m or less. The rotatable member can be formed by alternately depositing and patterning layers of a semiconductor (e.g. polysilicon or a silicon-germanium alloy) and a sacrificial material and then removing the sacrificial material, at least in part. The present invention has applications for forming micromechanical or microelectromechanical devices requiring lower actuation forces, and providing improved reliability.
    Type: Grant
    Filed: August 15, 2000
    Date of Patent: June 11, 2002
    Assignee: Sandia Corporation
    Inventors: M. Steven Rodgers, Jeffry J. Sniegowski
  • Patent number: 6375859
    Abstract: A process for removing a resist material containing a chlorine residue from an organic substrate. The process first removes the chlorine residue from the resist material by exposing the resist material to an abbreviated plasma which also removes a portion of the resist material. The remainder of the resist material is removed by exposing the resist material to a solvent which does not affect the organic substrate.
    Type: Grant
    Filed: February 4, 1999
    Date of Patent: April 23, 2002
    Assignee: International Business Machines Corporation
    Inventors: Richard P. Volant, Joseph T. Kocis, Waldemar W. Kocon, Seshadri Subbanna
  • Patent number: 6372150
    Abstract: Water vapor plasma etching of metal surfaces facilitates removal of organic residues over metal surfaces. By plasma etching metal surfaces covered with an organic material, such as photoresist, in an atmosphere in which the water vapor to O2 ratio exceeds 5:3 (such as about 5:1, for example), superior organic material removal results are observed, particularly over relatively wide metal surfaces. The duration of the water vapor plasma etch also may be increased, relative to conventional organic material-removing processes. The effectiveness of the high vapor etch according to the present invention allows the elimination of a subsequent dry organic material stripping step, reducing processing time and cost while increasing yields.
    Type: Grant
    Filed: December 18, 1998
    Date of Patent: April 16, 2002
    Assignee: Cypress Semiconductor Corp.
    Inventors: Kaichiu Wong, Gregory M. McMahon
  • Publication number: 20020030034
    Abstract: A phase shifting mask repair process is described. The process uses an etching gas or a hydrofluoric acid solution to etch the quartz substrate and the characteristics of the phase shifter layer being only slightly etched when clean with a NH3/H2O2/H2O2 solution to calculate and adjust the respective processing time accordingly. As a result, the phase difference between the quartz substrate and the MoSiON phase shifter layer stays relatively the same before and after the repair process.
    Type: Application
    Filed: November 30, 2000
    Publication date: March 14, 2002
    Inventor: Ching-Yu Chang
  • Patent number: 6355182
    Abstract: A process for etching oxides having differing densities which is not only highly selective, but which also produces uniform etches is provided and includes the steps of providing an oxide layer on a surface of a substrate, exposing the oxide layer to a liquid comprising a halide-containing species, and exposing the oxide layer to a gas phase comprising a halide-containing species. The process desirably is used to selectively etch a substrate surface in which the surface of the substrate includes on a first portion thereof a first silicon oxide and on a second portion thereof a second silicon oxide, with the first silicon oxide being relatively more dense than the second silicon oxide, such as, for example, a process which forms a capacitor storage cell on a semiconductor substrate.
    Type: Grant
    Filed: February 9, 2001
    Date of Patent: March 12, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Randhir Thakur, James Pan
  • Publication number: 20020027127
    Abstract: A wireless suspension blank is made using a two-layer laminate composed of a metallic layer with the spring property and an electrically insulating layer. The first method includes a first step for working the metallic layer by the photo etching method, a second step for forming a wiring part on the insulating layer by the semi-additive method and a third step for working the insulating layer by the wet-etching method. The second method includes a first step for working the metallic layer by the photo etching method, a second step for forming a wiring part on the insulating layer by the semi-additive method and a third step for working the insulating layer by the plasma etching method. The third method includes a first step for forming a wiring part on the metallic layer by the semi-additive method, a second step for working the metallic layer by the wet-etching method and a third step for working the insulating layer by the dry-etching method or the wet-etching method.
    Type: Application
    Filed: March 21, 2001
    Publication date: March 7, 2002
    Inventors: Hiroshi Yagi, Shigeki Kawano, Kazuo Umeda, Jiro Takei, Yukio Iimura, Satoshi Sasaki, Katsuya Sakayori, Hiroko Amasaki